From 377bce8837158feead8c0c00eeabaa0189e007d0 Mon Sep 17 00:00:00 2001 From: "raoxianhong@jingjiamicro.com" Date: Tue, 31 Aug 2021 20:33:36 +0800 Subject: [PATCH] 202108312033 --- examples/hdl4se_riscv/de2/.gitattributes | 63 + examples/hdl4se_riscv/de2/.gitignore | 377 +++ examples/hdl4se_riscv/de2/de2_riscv_v3.htm | 2979 +++++++++++++++++ examples/hdl4se_riscv/de2/de2_riscv_v3.pin | 850 +++++ examples/hdl4se_riscv/de2/de2_riscv_v3.qpf | 6 + examples/hdl4se_riscv/de2/de2_riscv_v3.qsf | 1015 ++++++ examples/hdl4se_riscv/de2/de2_riscv_v3.sdc | 86 + examples/hdl4se_riscv/de2/de2_riscv_v3.sof | Bin 0 -> 3541671 bytes examples/hdl4se_riscv/de2/de2_riscv_v3.v | 529 +++ .../de2/de2_riscv_v3_assignment_defaults.qdf | 692 ++++ examples/hdl4se_riscv/test_code/test.elf | Bin 17472 -> 17468 bytes examples/hdl4se_riscv/test_code/test.info | 28 +- examples/hdl4se_riscv/test_code/test.txt | 754 +++-- 13 files changed, 6986 insertions(+), 393 deletions(-) create mode 100644 examples/hdl4se_riscv/de2/.gitattributes create mode 100644 examples/hdl4se_riscv/de2/.gitignore create mode 100644 examples/hdl4se_riscv/de2/de2_riscv_v3.htm create mode 100644 examples/hdl4se_riscv/de2/de2_riscv_v3.pin create mode 100644 examples/hdl4se_riscv/de2/de2_riscv_v3.qpf create mode 100644 examples/hdl4se_riscv/de2/de2_riscv_v3.qsf create mode 100644 examples/hdl4se_riscv/de2/de2_riscv_v3.sdc create mode 100644 examples/hdl4se_riscv/de2/de2_riscv_v3.sof create mode 100644 examples/hdl4se_riscv/de2/de2_riscv_v3.v create mode 100644 examples/hdl4se_riscv/de2/de2_riscv_v3_assignment_defaults.qdf diff --git a/examples/hdl4se_riscv/de2/.gitattributes b/examples/hdl4se_riscv/de2/.gitattributes new file mode 100644 index 0000000..1ff0c42 --- /dev/null +++ b/examples/hdl4se_riscv/de2/.gitattributes @@ -0,0 +1,63 @@ +############################################################################### +# Set default behavior to automatically normalize line endings. +############################################################################### +* text=auto + +############################################################################### +# Set default behavior for command prompt diff. +# +# This is need for earlier builds of msysgit that does not have it on by +# default for csharp files. +# Note: This is only used by command line +############################################################################### +#*.cs diff=csharp + +############################################################################### +# Set the merge driver for project and solution files +# +# Merging from the command prompt will add diff markers to the files if there +# are conflicts (Merging from VS is not affected by the settings below, in VS +# the diff markers are never inserted). Diff markers may cause the following +# file extensions to fail to load in VS. An alternative would be to treat +# these files as binary and thus will always conflict and require user +# intervention with every merge. To do so, just uncomment the entries below +############################################################################### +#*.sln merge=binary +#*.csproj merge=binary +#*.vbproj merge=binary +#*.vcxproj merge=binary +#*.vcproj merge=binary +#*.dbproj merge=binary +#*.fsproj merge=binary +#*.lsproj merge=binary +#*.wixproj merge=binary +#*.modelproj merge=binary +#*.sqlproj merge=binary +#*.wwaproj merge=binary + +############################################################################### +# behavior for image files +# +# image files are treated as binary by default. +############################################################################### +#*.jpg binary +#*.png binary +#*.gif binary + +############################################################################### +# diff behavior for common document formats +# +# Convert binary document formats to text before diffing them. This feature +# is only available from the command line. Turn it on by uncommenting the +# entries below. +############################################################################### +#*.doc diff=astextplain +#*.DOC diff=astextplain +#*.docx diff=astextplain +#*.DOCX diff=astextplain +#*.dot diff=astextplain +#*.DOT diff=astextplain +#*.pdf diff=astextplain +#*.PDF diff=astextplain +#*.rtf diff=astextplain +#*.RTF diff=astextplain diff --git a/examples/hdl4se_riscv/de2/.gitignore b/examples/hdl4se_riscv/de2/.gitignore new file mode 100644 index 0000000..46150bf --- /dev/null +++ b/examples/hdl4se_riscv/de2/.gitignore @@ -0,0 +1,377 @@ +## Ignore Visual Studio temporary files, build results, and +## files generated by popular Visual Studio add-ons. +## +## Get latest from https://github.com/github/gitignore/blob/master/VisualStudio.gitignore + +# User-specific files +*.rsuser +*.suo +*.user +*.userosscache +*.sln.docstates + +# User-specific files (MonoDevelop/Xamarin Studio) +*.userprefs + +# Mono auto generated files +mono_crash.* + +# Build results +[Dd]ebug/ +[Dd]ebugPublic/ +[Rr]elease/ +[Rr]eleases/ +x64/ +x86/ +[Ww][Ii][Nn]32/ +[Aa][Rr][Mm]/ +[Aa][Rr][Mm]64/ +bld/ +[Bb]in/ +[Oo]bj/ +[Oo]ut/ +[Ll]og/ +[Ll]ogs/ + +# Visual Studio 2015/2017 cache/options directory +.vs/ +# Uncomment if you have tasks that create the project's static files in wwwroot +#wwwroot/ + +# Visual Studio 2017 auto generated files +Generated\ Files/ + +# MSTest test Results +[Tt]est[Rr]esult*/ +[Bb]uild[Ll]og.* + +# NUnit +*.VisualState.xml +TestResult.xml +nunit-*.xml + +# Build Results of an ATL Project +[Dd]ebugPS/ +[Rr]eleasePS/ +dlldata.c + +# Benchmark Results +BenchmarkDotNet.Artifacts/ + +# .NET Core +project.lock.json +project.fragment.lock.json +artifacts/ + +# ASP.NET Scaffolding +ScaffoldingReadMe.txt + +# StyleCop +StyleCopReport.xml + +# Files built by Visual Studio +*_i.c +*_p.c +*_h.h +*.ilk +*.bak +*.meta +*.obj +*.iobj +*.pch +*.pdb +*.ipdb +*.pgc +*.pgd +*.rsp +*.sbr +*.tlb +*.tli +*.tlh +*.tmp +*.tmp_proj +*_wpftmp.csproj +*.log +*.vspscc +*.vssscc +.builds +*.pidb +*.svclog +*.scc + +#altera fpga +db/ +greybox_tmp/ +hc_output/ +incremental_db/ +*.rpt +*.summary +*.done +*.cdf +*.jdi +*.smsg +work/ + +# Chutzpah Test files +_Chutzpah* + +# Visual C++ cache files +ipch/ +*.aps +*.ncb +*.opendb +*.opensdf +*.sdf +*.cachefile +*.VC.db +*.VC.VC.opendb + +# Visual Studio profiler +*.psess +*.vsp +*.vspx +*.sap + +# Visual Studio Trace Files +*.e2e + +# TFS 2012 Local Workspace +$tf/ + +# Guidance Automation Toolkit +*.gpState + +# ReSharper is a .NET coding add-in +_ReSharper*/ +*.[Rr]e[Ss]harper +*.DotSettings.user + +# TeamCity is a build add-in +_TeamCity* + +# DotCover is a Code Coverage Tool +*.dotCover + +# AxoCover is a Code Coverage Tool +.axoCover/* +!.axoCover/settings.json + +# Coverlet is a free, cross platform Code Coverage Tool +coverage*.json +coverage*.xml +coverage*.info + +# Visual Studio code coverage results +*.coverage +*.coveragexml + +# NCrunch +_NCrunch_* +.*crunch*.local.xml +nCrunchTemp_* + +# MightyMoose +*.mm.* +AutoTest.Net/ + +# Web workbench (sass) +.sass-cache/ + +# Installshield output folder +[Ee]xpress/ + +# DocProject is a documentation generator add-in +DocProject/buildhelp/ +DocProject/Help/*.HxT +DocProject/Help/*.HxC +DocProject/Help/*.hhc +DocProject/Help/*.hhk +DocProject/Help/*.hhp +DocProject/Help/Html2 +DocProject/Help/html + +# Click-Once directory +publish/ + +# Publish Web Output +*.[Pp]ublish.xml +*.azurePubxml +# Note: Comment the next line if you want to checkin your web deploy settings, +# but database connection strings (with potential passwords) will be unencrypted +*.pubxml +*.publishproj + +# Microsoft Azure Web App publish settings. Comment the next line if you want to +# checkin your Azure Web App publish settings, but sensitive information contained +# in these scripts will be unencrypted +PublishScripts/ + +# NuGet Packages +*.nupkg +# NuGet Symbol Packages +*.snupkg +# The packages folder can be ignored because of Package Restore +**/[Pp]ackages/* +# except build/, which is used as an MSBuild target. +!**/[Pp]ackages/build/ +# Uncomment if necessary however generally it will be regenerated when needed +#!**/[Pp]ackages/repositories.config +# NuGet v3's project.json files produces more ignorable files +*.nuget.props +*.nuget.targets + +# Microsoft Azure Build Output +csx/ +*.build.csdef + +# Microsoft Azure Emulator +ecf/ +rcf/ + +# Windows Store app package directories and files +AppPackages/ +BundleArtifacts/ +Package.StoreAssociation.xml +_pkginfo.txt +*.appx +*.appxbundle +*.appxupload + +# Visual Studio cache files +# files ending in .cache can be ignored +*.[Cc]ache +# but keep track of directories ending in .cache +!?*.[Cc]ache/ + +# Others +ClientBin/ +~$* +*~ +*.dbmdl +*.dbproj.schemaview +*.jfm +*.pfx +*.publishsettings +orleans.codegen.cs + +# Including strong name files can present a security risk +# (https://github.com/github/gitignore/pull/2483#issue-259490424) +#*.snk + +# Since there are multiple workflows, uncomment next line to ignore bower_components +# (https://github.com/github/gitignore/pull/1529#issuecomment-104372622) +#bower_components/ + +# RIA/Silverlight projects +Generated_Code/ + +# Backup & report files from converting an old project file +# to a newer Visual Studio version. Backup files are not needed, +# because we have git ;-) +_UpgradeReport_Files/ +Backup*/ +UpgradeLog*.XML +UpgradeLog*.htm +ServiceFabricBackup/ +*.rptproj.bak + +# SQL Server files +*.mdf +*.ldf +*.ndf + +# Business Intelligence projects +*.rdl.data +*.bim.layout +*.bim_*.settings +*.rptproj.rsuser +*- [Bb]ackup.rdl +*- [Bb]ackup ([0-9]).rdl +*- [Bb]ackup ([0-9][0-9]).rdl + +# Microsoft Fakes +FakesAssemblies/ + +# GhostDoc plugin setting file +*.GhostDoc.xml + +# Node.js Tools for Visual Studio +.ntvs_analysis.dat +node_modules/ + +# Visual Studio 6 build log +*.plg + +# Visual Studio 6 workspace options file +*.opt + +# Visual Studio 6 auto-generated workspace file (contains which files were open etc.) +*.vbw + +# Visual Studio LightSwitch build output +**/*.HTMLClient/GeneratedArtifacts +**/*.DesktopClient/GeneratedArtifacts +**/*.DesktopClient/ModelManifest.xml +**/*.Server/GeneratedArtifacts +**/*.Server/ModelManifest.xml +_Pvt_Extensions + +# Paket dependency manager +.paket/paket.exe +paket-files/ + +# FAKE - F# Make +.fake/ + +# CodeRush personal settings +.cr/personal + +# Python Tools for Visual Studio (PTVS) +__pycache__/ +*.pyc + +# Cake - Uncomment if you are using it +# tools/** +# !tools/packages.config + +# Tabs Studio +*.tss + +# Telerik's JustMock configuration file +*.jmconfig + +# BizTalk build output +*.btp.cs +*.btm.cs +*.odx.cs +*.xsd.cs + +# OpenCover UI analysis results +OpenCover/ + +# Azure Stream Analytics local run output +ASALocalRun/ + +# MSBuild Binary and Structured Log +*.binlog + +# NVidia Nsight GPU debugger configuration file +*.nvuser + +# MFractors (Xamarin productivity tool) working folder +.mfractor/ + +# Local History for Visual Studio +.localhistory/ + +# BeatPulse healthcheck temp database +healthchecksdb + +# Backup folder for Package Reference Convert tool in Visual Studio 2017 +MigrationBackup/ + +# Ionide (cross platform F# VS Code tools) working folder +.ionide/ + +# Fody - auto-generated XML schema +FodyWeavers.xsd \ No newline at end of file diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v3.htm b/examples/hdl4se_riscv/de2/de2_riscv_v3.htm new file mode 100644 index 0000000..8935db6 --- /dev/null +++ b/examples/hdl4se_riscv/de2/de2_riscv_v3.htm @@ -0,0 +1,2979 @@ + + +

DE2-115 FPGA Board Configuration

+
+
+

Pin Assignments:

+ +
+
+
+

Pin Assignment Table:

+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + +
CLOCK
NameLocationDirectionStandard
CLOCK_50Y2input 3.3-V LVTTL
CLOCK2_50AG14input 3.3-V LVTTL
CLOCK3_50AG15input 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + +
Sma
NameLocationDirectionStandard
SMA_CLKINAH14input 3.3-V LVTTL
SMA_CLKOUTAE23output3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
LED
NameLocationDirectionStandard
LEDR[0]G19output2.5 V
LEDR[1]F19output2.5 V
LEDR[2]E19output2.5 V
LEDR[3]F21output2.5 V
LEDR[4]F18output2.5 V
LEDR[5]E18output2.5 V
LEDR[6]J19output2.5 V
LEDR[7]H19output2.5 V
LEDR[8]J17output2.5 V
LEDR[9]G17output2.5 V
LEDR[10]J15output2.5 V
LEDR[11]H16output2.5 V
LEDR[12]J16output2.5 V
LEDR[13]H17output2.5 V
LEDR[14]F15output2.5 V
LEDR[15]G15output2.5 V
LEDR[16]G16output2.5 V
LEDR[17]H15output2.5 V
LEDG[0]E21output2.5 V
LEDG[1]E22output2.5 V
LEDG[2]E25output2.5 V
LEDG[3]E24output2.5 V
LEDG[4]H21output2.5 V
LEDG[5]G20output2.5 V
LEDG[6]G22output2.5 V
LEDG[7]G21output2.5 V
LEDG[8]F17output2.5 V
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
KEY
NameLocationDirectionStandard
KEY[0]M23input 3.3-V LVTTL
KEY[1]M21input 3.3-V LVTTL
KEY[2]N21input 3.3-V LVTTL
KEY[3]R24input 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
EX_IO
NameLocationDirectionStandard
EX_IO[0]J10inout 3.3-V LVTTL
EX_IO[1]J14inout 3.3-V LVTTL
EX_IO[2]H13inout 3.3-V LVTTL
EX_IO[3]H14inout 3.3-V LVTTL
EX_IO[4]F14inout 3.3-V LVTTL
EX_IO[5]E10inout 3.3-V LVTTL
EX_IO[6]D9inout 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
SW
NameLocationDirectionStandard
SW[0]AB28input 3.3-V LVTTL
SW[1]AC28input 3.3-V LVTTL
SW[2]AC27input 3.3-V LVTTL
SW[3]AD27input 3.3-V LVTTL
SW[4]AB27input 3.3-V LVTTL
SW[5]AC26input 3.3-V LVTTL
SW[6]AD26input 3.3-V LVTTL
SW[7]AB26input 3.3-V LVTTL
SW[8]AC25input 3.3-V LVTTL
SW[9]AB25input 3.3-V LVTTL
SW[10]AC24input 3.3-V LVTTL
SW[11]AB24input 3.3-V LVTTL
SW[12]AB23input 3.3-V LVTTL
SW[13]AA24input 3.3-V LVTTL
SW[14]AA23input 3.3-V LVTTL
SW[15]AA22input 3.3-V LVTTL
SW[16]Y24input 3.3-V LVTTL
SW[17]Y23input 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
SEG7
NameLocationDirectionStandard
HEX0[0]G18output2.5 V
HEX0[1]F22output2.5 V
HEX0[2]E17output2.5 V
HEX0[3]L26output3.3-V LVTTL
HEX0[4]L25output3.3-V LVTTL
HEX0[5]J22output3.3-V LVTTL
HEX0[6]H22output3.3-V LVTTL
HEX1[0]M24output3.3-V LVTTL
HEX1[1]Y22output3.3-V LVTTL
HEX1[2]W21output3.3-V LVTTL
HEX1[3]W22output3.3-V LVTTL
HEX1[4]W25output3.3-V LVTTL
HEX1[5]U23output3.3-V LVTTL
HEX1[6]U24output3.3-V LVTTL
HEX2[0]AA25output3.3-V LVTTL
HEX2[1]AA26output3.3-V LVTTL
HEX2[2]Y25output3.3-V LVTTL
HEX2[3]W26output3.3-V LVTTL
HEX2[4]Y26output3.3-V LVTTL
HEX2[5]W27output3.3-V LVTTL
HEX2[6]W28output3.3-V LVTTL
HEX3[0]V21output3.3-V LVTTL
HEX3[1]U21output3.3-V LVTTL
HEX3[2]AB20output3.3-V LVTTL
HEX3[3]AA21output3.3-V LVTTL
HEX3[4]AD24output3.3-V LVTTL
HEX3[5]AF23output3.3-V LVTTL
HEX3[6]Y19output3.3-V LVTTL
HEX4[0]AB19output3.3-V LVTTL
HEX4[1]AA19output3.3-V LVTTL
HEX4[2]AG21output3.3-V LVTTL
HEX4[3]AH21output3.3-V LVTTL
HEX4[4]AE19output3.3-V LVTTL
HEX4[5]AF19output3.3-V LVTTL
HEX4[6]AE18output3.3-V LVTTL
HEX5[0]AD18output3.3-V LVTTL
HEX5[1]AC18output3.3-V LVTTL
HEX5[2]AB18output3.3-V LVTTL
HEX5[3]AH19output3.3-V LVTTL
HEX5[4]AG19output3.3-V LVTTL
HEX5[5]AF18output3.3-V LVTTL
HEX5[6]AH18output3.3-V LVTTL
HEX6[0]AA17output3.3-V LVTTL
HEX6[1]AB16output3.3-V LVTTL
HEX6[2]AA16output3.3-V LVTTL
HEX6[3]AB17output3.3-V LVTTL
HEX6[4]AB15output3.3-V LVTTL
HEX6[5]AA15output3.3-V LVTTL
HEX6[6]AC17output3.3-V LVTTL
HEX7[0]AD17output3.3-V LVTTL
HEX7[1]AE17output3.3-V LVTTL
HEX7[2]AG17output3.3-V LVTTL
HEX7[3]AH17output3.3-V LVTTL
HEX7[4]AF17output3.3-V LVTTL
HEX7[5]AG18output3.3-V LVTTL
HEX7[6]AA14output3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
LCD
NameLocationDirectionStandard
LCD_DATA[0]L3inout 3.3-V LVTTL
LCD_DATA[1]L1inout 3.3-V LVTTL
LCD_DATA[2]L2inout 3.3-V LVTTL
LCD_DATA[3]K7inout 3.3-V LVTTL
LCD_DATA[4]K1inout 3.3-V LVTTL
LCD_DATA[5]K2inout 3.3-V LVTTL
LCD_DATA[6]M3inout 3.3-V LVTTL
LCD_DATA[7]M5inout 3.3-V LVTTL
LCD_BLONL6output3.3-V LVTTL
LCD_RWM1output3.3-V LVTTL
LCD_ENL4output3.3-V LVTTL
LCD_RSM2output3.3-V LVTTL
LCD_ONL5output3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
RS232
NameLocationDirectionStandard
UART_TXDG9output3.3-V LVTTL
UART_RXDG12input 3.3-V LVTTL
UART_RTSG14output3.3-V LVTTL
UART_CTSJ13input 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
PS2 for Keyboard and Mouse
NameLocationDirectionStandard
PS2_CLKG6inout 3.3-V LVTTL
PS2_DATH5inout 3.3-V LVTTL
PS2_CLK2G5inout 3.3-V LVTTL
PS2_DAT2F5inout 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
SDCARD
NameLocationDirectionStandard
SD_CMDAD14inout 3.3-V LVTTL
SD_CLKAE13output3.3-V LVTTL
SD_WP_NAF14input 3.3-V LVTTL
SD_DAT[0]AE14inout 3.3-V LVTTL
SD_DAT[1]AF13inout 3.3-V LVTTL
SD_DAT[2]AB14inout 3.3-V LVTTL
SD_DAT[3]AC14inout 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
VGA
NameLocationDirectionStandard
VGA_HSG13output3.3-V LVTTL
VGA_VSC13output3.3-V LVTTL
VGA_SYNC_NC10output3.3-V LVTTL
VGA_CLKA12output3.3-V LVTTL
VGA_BLANK_NF11output3.3-V LVTTL
VGA_R[0]E12output3.3-V LVTTL
VGA_R[1]E11output3.3-V LVTTL
VGA_R[2]D10output3.3-V LVTTL
VGA_R[3]F12output3.3-V LVTTL
VGA_R[4]G10output3.3-V LVTTL
VGA_R[5]J12output3.3-V LVTTL
VGA_R[6]H8output3.3-V LVTTL
VGA_R[7]H10output3.3-V LVTTL
VGA_G[0]G8output3.3-V LVTTL
VGA_G[1]G11output3.3-V LVTTL
VGA_G[2]F8output3.3-V LVTTL
VGA_G[3]H12output3.3-V LVTTL
VGA_G[4]C8output3.3-V LVTTL
VGA_G[5]B8output3.3-V LVTTL
VGA_G[6]F10output3.3-V LVTTL
VGA_G[7]C9output3.3-V LVTTL
VGA_B[0]B10output3.3-V LVTTL
VGA_B[1]A10output3.3-V LVTTL
VGA_B[2]C11output3.3-V LVTTL
VGA_B[3]B11output3.3-V LVTTL
VGA_B[4]A11output3.3-V LVTTL
VGA_B[5]C12output3.3-V LVTTL
VGA_B[6]D11output3.3-V LVTTL
VGA_B[7]D12output3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Audio
NameLocationDirectionStandard
AUD_ADCLRCKC2inout 3.3-V LVTTL
AUD_ADCDATD2input 3.3-V LVTTL
AUD_DACLRCKE3inout 3.3-V LVTTL
AUD_DACDATD1output3.3-V LVTTL
AUD_XCKE1output3.3-V LVTTL
AUD_BCLKF2inout 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + +
I2C for EEPROM
NameLocationDirectionStandard
EEP_I2C_SCLKD14output3.3-V LVTTL
EEP_I2C_SDATE14inout 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + +
I2C for Audio Tv-Decoder
NameLocationDirectionStandard
I2C_SCLKB7output3.3-V LVTTL
I2C_SDATA8inout 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Ethernet 0
NameLocationDirectionStandard
ENETCLK_25A14input 3.3-V LVTTL
ENET0_TX_DATA[0]C18output2.5 V
ENET0_RX_DATA[0]C16input 2.5 V
ENET0_TX_DATA[1]D19output2.5 V
ENET0_RX_DATA[1]D16input 2.5 V
ENET0_TX_DATA[2]A19output2.5 V
ENET0_RX_DATA[2]D17input 2.5 V
ENET0_TX_DATA[3]B19output2.5 V
ENET0_RX_DATA[3]C15input 2.5 V
ENET0_GTX_CLKA17output2.5 V
ENET0_TX_ENA18output2.5 V
ENET0_TX_ERB18output2.5 V
ENET0_INT_NA21input 2.5 V
ENET0_RST_NC19output2.5 V
ENET0_RX_DVC17input 2.5 V
ENET0_RX_ERD18input 2.5 V
ENET0_RX_CRSD15input 2.5 V
ENET0_RX_COLE15input 2.5 V
ENET0_RX_CLKA15input 2.5 V
ENET0_TX_CLKB17input 2.5 V
ENET0_MDCC20output2.5 V
ENET0_MDIOB21inout 2.5 V
ENET0_LINK100C14input 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Ethernet 1
NameLocationDirectionStandard
ENET1_TX_DATA[0]C25output2.5 V
ENET1_RX_DATA[0]B23input 2.5 V
ENET1_TX_DATA[1]A26output2.5 V
ENET1_RX_DATA[1]C21input 2.5 V
ENET1_TX_DATA[2]B26output2.5 V
ENET1_RX_DATA[2]A23input 2.5 V
ENET1_TX_DATA[3]C26output2.5 V
ENET1_RX_DATA[3]D21input 2.5 V
ENET1_GTX_CLKC23output2.5 V
ENET1_TX_ENB25output2.5 V
ENET1_TX_ERA25output2.5 V
ENET1_INT_ND24input 2.5 V
ENET1_RST_ND22output2.5 V
ENET1_RX_DVA22input 2.5 V
ENET1_RX_ERC24input 2.5 V
ENET1_RX_CRSD20input 2.5 V
ENET1_RX_COLB22input 2.5 V
ENET1_RX_CLKB15input 2.5 V
ENET1_TX_CLKC22input 2.5 V
ENET1_MDCD23output2.5 V
ENET1_MDIOD25inout 2.5 V
ENET1_LINK100D13input 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
TV Decoder
NameLocationDirectionStandard
TD_HSE5input 3.3-V LVTTL
TD_VSE4input 3.3-V LVTTL
TD_CLK27B14input 3.3-V LVTTL
TD_RESET_NG7output3.3-V LVTTL
TD_DATA[0]E8input 3.3-V LVTTL
TD_DATA[1]A7input 3.3-V LVTTL
TD_DATA[2]D8input 3.3-V LVTTL
TD_DATA[3]C7input 3.3-V LVTTL
TD_DATA[4]D7input 3.3-V LVTTL
TD_DATA[5]D6input 3.3-V LVTTL
TD_DATA[6]E7input 3.3-V LVTTL
TD_DATA[7]F7input 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
USB 2.0 OTG (Cypress CY7C67200)
NameLocationDirectionStandard
OTG_DATA[0]J6inout 3.3-V LVTTL
OTG_DATA[1]K4inout 3.3-V LVTTL
OTG_DATA[2]J5inout 3.3-V LVTTL
OTG_DATA[3]K3inout 3.3-V LVTTL
OTG_DATA[4]J4inout 3.3-V LVTTL
OTG_DATA[5]J3inout 3.3-V LVTTL
OTG_DATA[6]J7inout 3.3-V LVTTL
OTG_DATA[7]H6inout 3.3-V LVTTL
OTG_DATA[8]H3inout 3.3-V LVTTL
OTG_DATA[9]H4inout 3.3-V LVTTL
OTG_DATA[10]G1inout 3.3-V LVTTL
OTG_DATA[11]G2inout 3.3-V LVTTL
OTG_DATA[12]G3inout 3.3-V LVTTL
OTG_DATA[13]F1inout 3.3-V LVTTL
OTG_DATA[14]F3inout 3.3-V LVTTL
OTG_DATA[15]G4inout 3.3-V LVTTL
OTG_ADDR[0]H7output3.3-V LVTTL
OTG_ADDR[1]C3output3.3-V LVTTL
OTG_INTD5input 3.3-V LVTTL
OTG_RST_NC5output3.3-V LVTTL
OTG_CS_NA3output3.3-V LVTTL
OTG_RD_NB3output3.3-V LVTTL
OTG_WE_NA4output3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + +
IR Receiver
NameLocationDirectionStandard
IRDA_RXDY15input 3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
SDRAM
NameLocationDirectionStandard
DRAM_BA[0]U7output3.3-V LVTTL
DRAM_BA[1]R4output3.3-V LVTTL
DRAM_DQM[0]U2output3.3-V LVTTL
DRAM_DQM[1]W4output3.3-V LVTTL
DRAM_DQM[2]K8output3.3-V LVTTL
DRAM_DQM[3]N8output3.3-V LVTTL
DRAM_RAS_NU6output3.3-V LVTTL
DRAM_CAS_NV7output3.3-V LVTTL
DRAM_CKEAA6output3.3-V LVTTL
DRAM_CLKAE5output3.3-V LVTTL
DRAM_WE_NV6output3.3-V LVTTL
DRAM_CS_NT4output3.3-V LVTTL
DRAM_DQ[0]W3inout 3.3-V LVTTL
DRAM_DQ[1]W2inout 3.3-V LVTTL
DRAM_DQ[2]V4inout 3.3-V LVTTL
DRAM_DQ[3]W1inout 3.3-V LVTTL
DRAM_DQ[4]V3inout 3.3-V LVTTL
DRAM_DQ[5]V2inout 3.3-V LVTTL
DRAM_DQ[6]V1inout 3.3-V LVTTL
DRAM_DQ[7]U3inout 3.3-V LVTTL
DRAM_DQ[8]Y3inout 3.3-V LVTTL
DRAM_DQ[9]Y4inout 3.3-V LVTTL
DRAM_DQ[10]AB1inout 3.3-V LVTTL
DRAM_DQ[11]AA3inout 3.3-V LVTTL
DRAM_DQ[12]AB2inout 3.3-V LVTTL
DRAM_DQ[13]AC1inout 3.3-V LVTTL
DRAM_DQ[14]AB3inout 3.3-V LVTTL
DRAM_DQ[15]AC2inout 3.3-V LVTTL
DRAM_DQ[16]M8inout 3.3-V LVTTL
DRAM_DQ[17]L8inout 3.3-V LVTTL
DRAM_DQ[18]P2inout 3.3-V LVTTL
DRAM_DQ[19]N3inout 3.3-V LVTTL
DRAM_DQ[20]N4inout 3.3-V LVTTL
DRAM_DQ[21]M4inout 3.3-V LVTTL
DRAM_DQ[22]M7inout 3.3-V LVTTL
DRAM_DQ[23]L7inout 3.3-V LVTTL
DRAM_DQ[24]U5inout 3.3-V LVTTL
DRAM_DQ[25]R7inout 3.3-V LVTTL
DRAM_DQ[26]R1inout 3.3-V LVTTL
DRAM_DQ[27]R2inout 3.3-V LVTTL
DRAM_DQ[28]R3inout 3.3-V LVTTL
DRAM_DQ[29]T3inout 3.3-V LVTTL
DRAM_DQ[30]U4inout 3.3-V LVTTL
DRAM_DQ[31]U1inout 3.3-V LVTTL
DRAM_ADDR[0]R6output3.3-V LVTTL
DRAM_ADDR[1]V8output3.3-V LVTTL
DRAM_ADDR[2]U8output3.3-V LVTTL
DRAM_ADDR[3]P1output3.3-V LVTTL
DRAM_ADDR[4]V5output3.3-V LVTTL
DRAM_ADDR[5]W8output3.3-V LVTTL
DRAM_ADDR[6]W7output3.3-V LVTTL
DRAM_ADDR[7]AA7output3.3-V LVTTL
DRAM_ADDR[8]Y5output3.3-V LVTTL
DRAM_ADDR[9]Y6output3.3-V LVTTL
DRAM_ADDR[10]R5output3.3-V LVTTL
DRAM_ADDR[11]AA5output3.3-V LVTTL
DRAM_ADDR[12]Y7output3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
SRAM
NameLocationDirectionStandard
SRAM_ADDR[0]AB7output3.3-V LVTTL
SRAM_ADDR[1]AD7output3.3-V LVTTL
SRAM_ADDR[2]AE7output3.3-V LVTTL
SRAM_ADDR[3]AC7output3.3-V LVTTL
SRAM_ADDR[4]AB6output3.3-V LVTTL
SRAM_ADDR[5]AE6output3.3-V LVTTL
SRAM_ADDR[6]AB5output3.3-V LVTTL
SRAM_ADDR[7]AC5output3.3-V LVTTL
SRAM_ADDR[8]AF5output3.3-V LVTTL
SRAM_ADDR[9]T7output3.3-V LVTTL
SRAM_ADDR[10]AF2output3.3-V LVTTL
SRAM_ADDR[11]AD3output3.3-V LVTTL
SRAM_ADDR[12]AB4output3.3-V LVTTL
SRAM_ADDR[13]AC3output3.3-V LVTTL
SRAM_ADDR[14]AA4output3.3-V LVTTL
SRAM_ADDR[15]AB11output3.3-V LVTTL
SRAM_ADDR[16]AC11output3.3-V LVTTL
SRAM_ADDR[17]AB9output3.3-V LVTTL
SRAM_ADDR[18]AB8output3.3-V LVTTL
SRAM_ADDR[19]T8output3.3-V LVTTL
SRAM_DQ[0]AH3inout 3.3-V LVTTL
SRAM_DQ[1]AF4inout 3.3-V LVTTL
SRAM_DQ[2]AG4inout 3.3-V LVTTL
SRAM_DQ[3]AH4inout 3.3-V LVTTL
SRAM_DQ[4]AF6inout 3.3-V LVTTL
SRAM_DQ[5]AG6inout 3.3-V LVTTL
SRAM_DQ[6]AH6inout 3.3-V LVTTL
SRAM_DQ[7]AF7inout 3.3-V LVTTL
SRAM_DQ[8]AD1inout 3.3-V LVTTL
SRAM_DQ[9]AD2inout 3.3-V LVTTL
SRAM_DQ[10]AE2inout 3.3-V LVTTL
SRAM_DQ[11]AE1inout 3.3-V LVTTL
SRAM_DQ[12]AE3inout 3.3-V LVTTL
SRAM_DQ[13]AE4inout 3.3-V LVTTL
SRAM_DQ[14]AF3inout 3.3-V LVTTL
SRAM_DQ[15]AG3inout 3.3-V LVTTL
SRAM_UB_NAC4output3.3-V LVTTL
SRAM_LB_NAD4output3.3-V LVTTL
SRAM_CE_NAF8output3.3-V LVTTL
SRAM_OE_NAD5output3.3-V LVTTL
SRAM_WE_NAE8output3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Flash
NameLocationDirectionStandard
FL_ADDR[0]AG12output3.3-V LVTTL
FL_ADDR[1]AH7output3.3-V LVTTL
FL_ADDR[2]Y13output3.3-V LVTTL
FL_ADDR[3]Y14output3.3-V LVTTL
FL_ADDR[4]Y12output3.3-V LVTTL
FL_ADDR[5]AA13output3.3-V LVTTL
FL_ADDR[6]AA12output3.3-V LVTTL
FL_ADDR[7]AB13output3.3-V LVTTL
FL_ADDR[8]AB12output3.3-V LVTTL
FL_ADDR[9]AB10output3.3-V LVTTL
FL_ADDR[10]AE9output3.3-V LVTTL
FL_ADDR[11]AF9output3.3-V LVTTL
FL_ADDR[12]AA10output3.3-V LVTTL
FL_ADDR[13]AD8output3.3-V LVTTL
FL_ADDR[14]AC8output3.3-V LVTTL
FL_ADDR[15]Y10output3.3-V LVTTL
FL_ADDR[16]AA8output3.3-V LVTTL
FL_ADDR[17]AH12output3.3-V LVTTL
FL_ADDR[18]AC12output3.3-V LVTTL
FL_ADDR[19]AD12output3.3-V LVTTL
FL_ADDR[20]AE10output3.3-V LVTTL
FL_ADDR[21]AD10output3.3-V LVTTL
FL_ADDR[22]AD11output3.3-V LVTTL
FL_DQ[0]AH8inout 3.3-V LVTTL
FL_DQ[1]AF10inout 3.3-V LVTTL
FL_DQ[2]AG10inout 3.3-V LVTTL
FL_DQ[3]AH10inout 3.3-V LVTTL
FL_DQ[4]AF11inout 3.3-V LVTTL
FL_DQ[5]AG11inout 3.3-V LVTTL
FL_DQ[6]AH11inout 3.3-V LVTTL
FL_DQ[7]AF12inout 3.3-V LVTTL
FL_CE_NAG7output3.3-V LVTTL
FL_OE_NAG8output3.3-V LVTTL
FL_RST_NAE11output3.3-V LVTTL
FL_RYY1input 3.3-V LVTTL
FL_WE_NAC10output3.3-V LVTTL
FL_WP_NAE12output3.3-V LVTTL
+

+ +
+
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
GPIO connect to GPIO Default
NameLocationDirectionStandardGPIO Pin Index
GPIO[0]AB22inout 3.3-V LVTTL1
GPIO[1]AC15inout 3.3-V LVTTL2
GPIO[2]AB21inout 3.3-V LVTTL3
GPIO[3]Y17inout 3.3-V LVTTL4
GPIO[4]AC21inout 3.3-V LVTTL5
GPIO[5]Y16inout 3.3-V LVTTL6
GPIO[6]AD21inout 3.3-V LVTTL7
GPIO[7]AE16inout 3.3-V LVTTL8
GPIO[8]AD15inout 3.3-V LVTTL9
GPIO[9]AE15inout 3.3-V LVTTL10
GPIO[10]AC19inout 3.3-V LVTTL13
GPIO[11]AF16inout 3.3-V LVTTL14
GPIO[12]AD19inout 3.3-V LVTTL15
GPIO[13]AF15inout 3.3-V LVTTL16
GPIO[14]AF24inout 3.3-V LVTTL17
GPIO[15]AE21inout 3.3-V LVTTL18
GPIO[16]AF25inout 3.3-V LVTTL19
GPIO[17]AC22inout 3.3-V LVTTL20
GPIO[18]AE22inout 3.3-V LVTTL21
GPIO[19]AF21inout 3.3-V LVTTL22
GPIO[20]AF22inout 3.3-V LVTTL23
GPIO[21]AD22inout 3.3-V LVTTL24
GPIO[22]AG25inout 3.3-V LVTTL25
GPIO[23]AD25inout 3.3-V LVTTL26
GPIO[24]AH25inout 3.3-V LVTTL27
GPIO[25]AE25inout 3.3-V LVTTL28
GPIO[26]AG22inout 3.3-V LVTTL31
GPIO[27]AE24inout 3.3-V LVTTL32
GPIO[28]AH22inout 3.3-V LVTTL33
GPIO[29]AF26inout 3.3-V LVTTL34
GPIO[30]AE20inout 3.3-V LVTTL35
GPIO[31]AG23inout 3.3-V LVTTL36
GPIO[32]AF20inout 3.3-V LVTTL37
GPIO[33]AH26inout 3.3-V LVTTL38
GPIO[34]AH23inout 3.3-V LVTTL39
GPIO[35]AG26inout 3.3-V LVTTL40
+ + diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v3.pin b/examples/hdl4se_riscv/de2/de2_riscv_v3.pin new file mode 100644 index 0000000..eb13110 --- /dev/null +++ b/examples/hdl4se_riscv/de2/de2_riscv_v3.pin @@ -0,0 +1,850 @@ + -- Copyright (C) 1991-2013 Altera Corporation + -- Your use of Altera Corporation's design tools, logic functions + -- and other software and tools, and its AMPP partner logic + -- functions, and any output files from any of the foregoing + -- (including device programming or simulation files), and any + -- associated documentation or information are expressly subject + -- to the terms and conditions of the Altera Program License + -- Subscription Agreement, Altera MegaCore Function License + -- Agreement, or other applicable license agreement, including, + -- without limitation, that your use is for the sole purpose of + -- programming logic devices manufactured by Altera and sold by + -- Altera or its authorized distributors. Please refer to the + -- applicable agreement for further details. + -- + -- This is a Quartus II output file. It is for reporting purposes only, and is + -- not intended for use as a Quartus II input file. This file cannot be used + -- to make Quartus II pin assignments - for instructions on how to make pin + -- assignments, please see Quartus II help. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- NC : No Connect. This pin has no internal connection to the device. + -- DNU : Do Not Use. This pin MUST NOT be connected. + -- VCCINT : Dedicated power pin, which MUST be connected to VCC (1.2V). + -- VCCIO : Dedicated power pin, which MUST be connected to VCC + -- of its bank. + -- Bank 1: 3.3V + -- Bank 2: 3.3V + -- Bank 3: 3.3V + -- Bank 4: 3.3V + -- Bank 5: 3.3V + -- Bank 6: 3.3V + -- Bank 7: 2.5V + -- Bank 8: 3.3V + -- GND : Dedicated ground pin. Dedicated GND pins MUST be connected to GND. + -- It can also be used to report unused dedicated pins. The connection + -- on the board for unused dedicated pins depends on whether this will + -- be used in a future design. One example is device migration. When + -- using device migration, refer to the device pin-tables. If it is a + -- GND pin in the pin table or if it will not be used in a future design + -- for another purpose the it MUST be connected to GND. If it is an unused + -- dedicated pin, then it can be connected to a valid signal on the board + -- (low, high, or toggling) if that signal is required for a different + -- revision of the design. + -- GND+ : Unused input pin. It can also be used to report unused dual-purpose pins. + -- This pin should be connected to GND. It may also be connected to a + -- valid signal on the board (low, high, or toggling) if that signal + -- is required for a different revision of the design. + -- GND* : Unused I/O pin. Connect each pin marked GND* directly to GND + -- or leave it unconnected. + -- RESERVED : Unused I/O pin, which MUST be left unconnected. + -- RESERVED_INPUT : Pin is tri-stated and should be connected to the board. + -- RESERVED_INPUT_WITH_WEAK_PULLUP : Pin is tri-stated with internal weak pull-up resistor. + -- RESERVED_INPUT_WITH_BUS_HOLD : Pin is tri-stated with bus-hold circuitry. + -- RESERVED_OUTPUT_DRIVEN_HIGH : Pin is output driven high. + --------------------------------------------------------------------------------- + + + + --------------------------------------------------------------------------------- + -- Pin directions (input, output or bidir) are based on device operating in user mode. + --------------------------------------------------------------------------------- + +Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version +CHIP "de2_riscv_v3" ASSIGNED TO AN: EP4CE115F29C7 + +Pin Name/Usage : Location : Dir. : I/O Standard : Voltage : I/O Bank : User Assignment +------------------------------------------------------------------------------------------------------------- +VCCIO8 : A2 : power : : 3.3V : 8 : +OTG_CS_N : A3 : output : 3.3-V LVTTL : : 8 : Y +OTG_WE_N : A4 : output : 3.3-V LVTTL : : 8 : Y +VCCIO8 : A5 : power : : 3.3V : 8 : +RESERVED_INPUT_WITH_WEAK_PULLUP : A6 : : : : 8 : +TD_DATA[1] : A7 : input : 3.3-V LVTTL : : 8 : Y +I2C_SDAT : A8 : bidir : 3.3-V LVTTL : : 8 : Y +VCCIO8 : A9 : power : : 3.3V : 8 : +VGA_B[1] : A10 : output : 3.3-V LVTTL : : 8 : Y +VGA_B[4] : A11 : output : 3.3-V LVTTL : : 8 : Y +VGA_CLK : A12 : output : 3.3-V LVTTL : : 8 : Y +VCCIO8 : A13 : power : : 3.3V : 8 : +ENETCLK_25 : A14 : input : 3.3-V LVTTL : : 8 : Y +ENET0_RX_CLK : A15 : input : 2.5 V : : 7 : Y +VCCIO7 : A16 : power : : 2.5V : 7 : +ENET0_GTX_CLK : A17 : output : 2.5 V : : 7 : Y +ENET0_TX_EN : A18 : output : 2.5 V : : 7 : Y +ENET0_TX_DATA[2] : A19 : output : 2.5 V : : 7 : Y +VCCIO7 : A20 : power : : 2.5V : 7 : +ENET0_INT_N : A21 : input : 2.5 V : : 7 : Y +ENET1_RX_DV : A22 : input : 2.5 V : : 7 : Y +ENET1_RX_DATA[2] : A23 : input : 2.5 V : : 7 : Y +VCCIO7 : A24 : power : : 2.5V : 7 : +ENET1_TX_ER : A25 : output : 2.5 V : : 7 : Y +ENET1_TX_DATA[1] : A26 : output : 2.5 V : : 7 : Y +VCCIO7 : A27 : power : : 2.5V : 7 : +VCCIO2 : AA1 : power : : 3.3V : 2 : +GND : AA2 : gnd : : : : +DRAM_DQ[11] : AA3 : bidir : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[14] : AA4 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[11] : AA5 : output : 3.3-V LVTTL : : 2 : Y +DRAM_CKE : AA6 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[7] : AA7 : output : 3.3-V LVTTL : : 2 : Y +FL_ADDR[16] : AA8 : output : 3.3-V LVTTL : : 3 : Y +GNDA1 : AA9 : gnd : : : : +FL_ADDR[12] : AA10 : output : 3.3-V LVTTL : : 3 : Y +VCCIO3 : AA11 : power : : 3.3V : 3 : +FL_ADDR[6] : AA12 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[5] : AA13 : output : 3.3-V LVTTL : : 3 : Y +HEX7[6] : AA14 : output : 3.3-V LVTTL : : 3 : Y +HEX6[5] : AA15 : output : 3.3-V LVTTL : : 4 : Y +HEX6[2] : AA16 : output : 3.3-V LVTTL : : 4 : Y +HEX6[0] : AA17 : output : 3.3-V LVTTL : : 4 : Y +VCCIO4 : AA18 : power : : 3.3V : 4 : +HEX4[1] : AA19 : output : 3.3-V LVTTL : : 4 : Y +GNDA4 : AA20 : gnd : : : : +HEX3[3] : AA21 : output : 3.3-V LVTTL : : 4 : Y +SW[15] : AA22 : input : 3.3-V LVTTL : : 5 : Y +SW[14] : AA23 : input : 3.3-V LVTTL : : 5 : Y +SW[13] : AA24 : input : 3.3-V LVTTL : : 5 : Y +HEX2[0] : AA25 : output : 3.3-V LVTTL : : 5 : Y +HEX2[1] : AA26 : output : 3.3-V LVTTL : : 5 : Y +GND : AA27 : gnd : : : : +VCCIO5 : AA28 : power : : 3.3V : 5 : +DRAM_DQ[10] : AB1 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[12] : AB2 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[14] : AB3 : bidir : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[12] : AB4 : output : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[6] : AB5 : output : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[4] : AB6 : output : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[0] : AB7 : output : 3.3-V LVTTL : : 3 : Y +SRAM_ADDR[18] : AB8 : output : 3.3-V LVTTL : : 3 : Y +SRAM_ADDR[17] : AB9 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[9] : AB10 : output : 3.3-V LVTTL : : 3 : Y +SRAM_ADDR[15] : AB11 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[8] : AB12 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[7] : AB13 : output : 3.3-V LVTTL : : 3 : Y +SD_DAT[2] : AB14 : bidir : 3.3-V LVTTL : : 3 : Y +HEX6[4] : AB15 : output : 3.3-V LVTTL : : 4 : Y +HEX6[1] : AB16 : output : 3.3-V LVTTL : : 4 : Y +HEX6[3] : AB17 : output : 3.3-V LVTTL : : 4 : Y +HEX5[2] : AB18 : output : 3.3-V LVTTL : : 4 : Y +HEX4[0] : AB19 : output : 3.3-V LVTTL : : 4 : Y +HEX3[2] : AB20 : output : 3.3-V LVTTL : : 4 : Y +GPIO[2] : AB21 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[0] : AB22 : bidir : 3.3-V LVTTL : : 4 : Y +SW[12] : AB23 : input : 3.3-V LVTTL : : 5 : Y +SW[11] : AB24 : input : 3.3-V LVTTL : : 5 : Y +SW[9] : AB25 : input : 3.3-V LVTTL : : 5 : Y +SW[7] : AB26 : input : 3.3-V LVTTL : : 5 : Y +SW[4] : AB27 : input : 3.3-V LVTTL : : 5 : Y +SW[0] : AB28 : input : 3.3-V LVTTL : : 5 : Y +DRAM_DQ[13] : AC1 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[15] : AC2 : bidir : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[13] : AC3 : output : 3.3-V LVTTL : : 2 : Y +SRAM_UB_N : AC4 : output : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[7] : AC5 : output : 3.3-V LVTTL : : 2 : Y +GND : AC6 : gnd : : : : +SRAM_ADDR[3] : AC7 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[14] : AC8 : output : 3.3-V LVTTL : : 3 : Y +GND : AC9 : gnd : : : : +FL_WE_N : AC10 : output : 3.3-V LVTTL : : 3 : Y +SRAM_ADDR[16] : AC11 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[18] : AC12 : output : 3.3-V LVTTL : : 3 : Y +GND : AC13 : gnd : : : : +SD_DAT[3] : AC14 : bidir : 3.3-V LVTTL : : 3 : Y +GPIO[1] : AC15 : bidir : 3.3-V LVTTL : : 4 : Y +GND : AC16 : gnd : : : : +HEX6[6] : AC17 : output : 3.3-V LVTTL : : 4 : Y +HEX5[1] : AC18 : output : 3.3-V LVTTL : : 4 : Y +GPIO[10] : AC19 : bidir : 3.3-V LVTTL : : 4 : Y +GND : AC20 : gnd : : : : +GPIO[4] : AC21 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[17] : AC22 : bidir : 3.3-V LVTTL : : 4 : Y +GND : AC23 : gnd : : : : +SW[10] : AC24 : input : 3.3-V LVTTL : : 5 : Y +SW[8] : AC25 : input : 3.3-V LVTTL : : 5 : Y +SW[5] : AC26 : input : 3.3-V LVTTL : : 5 : Y +SW[2] : AC27 : input : 3.3-V LVTTL : : 5 : Y +SW[1] : AC28 : input : 3.3-V LVTTL : : 5 : Y +SRAM_DQ[8] : AD1 : bidir : 3.3-V LVTTL : : 2 : Y +SRAM_DQ[9] : AD2 : bidir : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[11] : AD3 : output : 3.3-V LVTTL : : 2 : Y +SRAM_LB_N : AD4 : output : 3.3-V LVTTL : : 3 : Y +SRAM_OE_N : AD5 : output : 3.3-V LVTTL : : 3 : Y +VCCIO3 : AD6 : power : : 3.3V : 3 : +SRAM_ADDR[1] : AD7 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[13] : AD8 : output : 3.3-V LVTTL : : 3 : Y +VCCIO3 : AD9 : power : : 3.3V : 3 : +FL_ADDR[21] : AD10 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[22] : AD11 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[19] : AD12 : output : 3.3-V LVTTL : : 3 : Y +VCCIO3 : AD13 : power : : 3.3V : 3 : +SD_CMD : AD14 : bidir : 3.3-V LVTTL : : 3 : Y +GPIO[8] : AD15 : bidir : 3.3-V LVTTL : : 4 : Y +VCCIO4 : AD16 : power : : 3.3V : 4 : +HEX7[0] : AD17 : output : 3.3-V LVTTL : : 4 : Y +HEX5[0] : AD18 : output : 3.3-V LVTTL : : 4 : Y +GPIO[12] : AD19 : bidir : 3.3-V LVTTL : : 4 : Y +VCCIO4 : AD20 : power : : 3.3V : 4 : +GPIO[6] : AD21 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[21] : AD22 : bidir : 3.3-V LVTTL : : 4 : Y +VCCIO4 : AD23 : power : : 3.3V : 4 : +HEX3[4] : AD24 : output : 3.3-V LVTTL : : 4 : Y +GPIO[23] : AD25 : bidir : 3.3-V LVTTL : : 4 : Y +SW[6] : AD26 : input : 3.3-V LVTTL : : 5 : Y +SW[3] : AD27 : input : 3.3-V LVTTL : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : AD28 : : : : 5 : +SRAM_DQ[11] : AE1 : bidir : 3.3-V LVTTL : : 2 : Y +SRAM_DQ[10] : AE2 : bidir : 3.3-V LVTTL : : 2 : Y +SRAM_DQ[12] : AE3 : bidir : 3.3-V LVTTL : : 2 : Y +SRAM_DQ[13] : AE4 : bidir : 3.3-V LVTTL : : 3 : Y +DRAM_CLK : AE5 : output : 3.3-V LVTTL : : 3 : Y +SRAM_ADDR[5] : AE6 : output : 3.3-V LVTTL : : 3 : Y +SRAM_ADDR[2] : AE7 : output : 3.3-V LVTTL : : 3 : Y +SRAM_WE_N : AE8 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[10] : AE9 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[20] : AE10 : output : 3.3-V LVTTL : : 3 : Y +FL_RST_N : AE11 : output : 3.3-V LVTTL : : 3 : Y +FL_WP_N : AE12 : output : 3.3-V LVTTL : : 3 : Y +SD_CLK : AE13 : output : 3.3-V LVTTL : : 3 : Y +SD_DAT[0] : AE14 : bidir : 3.3-V LVTTL : : 3 : Y +GPIO[9] : AE15 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[7] : AE16 : bidir : 3.3-V LVTTL : : 4 : Y +HEX7[1] : AE17 : output : 3.3-V LVTTL : : 4 : Y +HEX4[6] : AE18 : output : 3.3-V LVTTL : : 4 : Y +HEX4[4] : AE19 : output : 3.3-V LVTTL : : 4 : Y +GPIO[30] : AE20 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[15] : AE21 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[18] : AE22 : bidir : 3.3-V LVTTL : : 4 : Y +SMA_CLKOUT : AE23 : output : 3.3-V LVTTL : : 4 : Y +GPIO[27] : AE24 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[25] : AE25 : bidir : 3.3-V LVTTL : : 4 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : AE26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : AE28 : : : : 5 : +GND : AF1 : gnd : : : : +SRAM_ADDR[10] : AF2 : output : 3.3-V LVTTL : : 2 : Y +SRAM_DQ[14] : AF3 : bidir : 3.3-V LVTTL : : 3 : Y +SRAM_DQ[1] : AF4 : bidir : 3.3-V LVTTL : : 3 : Y +SRAM_ADDR[8] : AF5 : output : 3.3-V LVTTL : : 3 : Y +SRAM_DQ[4] : AF6 : bidir : 3.3-V LVTTL : : 3 : Y +SRAM_DQ[7] : AF7 : bidir : 3.3-V LVTTL : : 3 : Y +SRAM_CE_N : AF8 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[11] : AF9 : output : 3.3-V LVTTL : : 3 : Y +FL_DQ[1] : AF10 : bidir : 3.3-V LVTTL : : 3 : Y +FL_DQ[4] : AF11 : bidir : 3.3-V LVTTL : : 3 : Y +FL_DQ[7] : AF12 : bidir : 3.3-V LVTTL : : 3 : Y +SD_DAT[1] : AF13 : bidir : 3.3-V LVTTL : : 3 : Y +SD_WP_N : AF14 : input : 3.3-V LVTTL : : 3 : Y +GPIO[13] : AF15 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[11] : AF16 : bidir : 3.3-V LVTTL : : 4 : Y +HEX7[4] : AF17 : output : 3.3-V LVTTL : : 4 : Y +HEX5[5] : AF18 : output : 3.3-V LVTTL : : 4 : Y +HEX4[5] : AF19 : output : 3.3-V LVTTL : : 4 : Y +GPIO[32] : AF20 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[19] : AF21 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[20] : AF22 : bidir : 3.3-V LVTTL : : 4 : Y +HEX3[5] : AF23 : output : 3.3-V LVTTL : : 4 : Y +GPIO[14] : AF24 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[16] : AF25 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[29] : AF26 : bidir : 3.3-V LVTTL : : 4 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : AF27 : : : : 5 : +GND : AF28 : gnd : : : : +VCCIO2 : AG1 : power : : 3.3V : 2 : +GND : AG2 : gnd : : : : +SRAM_DQ[15] : AG3 : bidir : 3.3-V LVTTL : : 3 : Y +SRAM_DQ[2] : AG4 : bidir : 3.3-V LVTTL : : 3 : Y +GND : AG5 : gnd : : : : +SRAM_DQ[5] : AG6 : bidir : 3.3-V LVTTL : : 3 : Y +FL_CE_N : AG7 : output : 3.3-V LVTTL : : 3 : Y +FL_OE_N : AG8 : output : 3.3-V LVTTL : : 3 : Y +GND : AG9 : gnd : : : : +FL_DQ[2] : AG10 : bidir : 3.3-V LVTTL : : 3 : Y +FL_DQ[5] : AG11 : bidir : 3.3-V LVTTL : : 3 : Y +FL_ADDR[0] : AG12 : output : 3.3-V LVTTL : : 3 : Y +GND : AG13 : gnd : : : : +CLOCK2_50 : AG14 : input : 3.3-V LVTTL : : 3 : Y +CLOCK3_50 : AG15 : input : 3.3-V LVTTL : : 4 : Y +GND : AG16 : gnd : : : : +HEX7[2] : AG17 : output : 3.3-V LVTTL : : 4 : Y +HEX7[5] : AG18 : output : 3.3-V LVTTL : : 4 : Y +HEX5[4] : AG19 : output : 3.3-V LVTTL : : 4 : Y +GND : AG20 : gnd : : : : +HEX4[2] : AG21 : output : 3.3-V LVTTL : : 4 : Y +GPIO[26] : AG22 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[31] : AG23 : bidir : 3.3-V LVTTL : : 4 : Y +GND : AG24 : gnd : : : : +GPIO[22] : AG25 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[35] : AG26 : bidir : 3.3-V LVTTL : : 4 : Y +GND : AG27 : gnd : : : : +VCCIO5 : AG28 : power : : 3.3V : 5 : +VCCIO3 : AH2 : power : : 3.3V : 3 : +SRAM_DQ[0] : AH3 : bidir : 3.3-V LVTTL : : 3 : Y +SRAM_DQ[3] : AH4 : bidir : 3.3-V LVTTL : : 3 : Y +VCCIO3 : AH5 : power : : 3.3V : 3 : +SRAM_DQ[6] : AH6 : bidir : 3.3-V LVTTL : : 3 : Y +FL_ADDR[1] : AH7 : output : 3.3-V LVTTL : : 3 : Y +FL_DQ[0] : AH8 : bidir : 3.3-V LVTTL : : 3 : Y +VCCIO3 : AH9 : power : : 3.3V : 3 : +FL_DQ[3] : AH10 : bidir : 3.3-V LVTTL : : 3 : Y +FL_DQ[6] : AH11 : bidir : 3.3-V LVTTL : : 3 : Y +FL_ADDR[17] : AH12 : output : 3.3-V LVTTL : : 3 : Y +VCCIO3 : AH13 : power : : 3.3V : 3 : +SMA_CLKIN : AH14 : input : 3.3-V LVTTL : : 3 : Y +GND+ : AH15 : : : : 4 : +VCCIO4 : AH16 : power : : 3.3V : 4 : +HEX7[3] : AH17 : output : 3.3-V LVTTL : : 4 : Y +HEX5[6] : AH18 : output : 3.3-V LVTTL : : 4 : Y +HEX5[3] : AH19 : output : 3.3-V LVTTL : : 4 : Y +VCCIO4 : AH20 : power : : 3.3V : 4 : +HEX4[3] : AH21 : output : 3.3-V LVTTL : : 4 : Y +GPIO[28] : AH22 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[34] : AH23 : bidir : 3.3-V LVTTL : : 4 : Y +VCCIO4 : AH24 : power : : 3.3V : 4 : +GPIO[24] : AH25 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[33] : AH26 : bidir : 3.3-V LVTTL : : 4 : Y +VCCIO4 : AH27 : power : : 3.3V : 4 : +VCCIO1 : B1 : power : : 3.3V : 1 : +GND : B2 : gnd : : : : +OTG_RD_N : B3 : output : 3.3-V LVTTL : : 8 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : B4 : : : : 8 : +GND : B5 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : B6 : : : : 8 : +I2C_SCLK : B7 : output : 3.3-V LVTTL : : 8 : Y +VGA_G[5] : B8 : output : 3.3-V LVTTL : : 8 : Y +GND : B9 : gnd : : : : +VGA_B[0] : B10 : output : 3.3-V LVTTL : : 8 : Y +VGA_B[3] : B11 : output : 3.3-V LVTTL : : 8 : Y +GND : B12 : gnd : : : : +GND : B13 : gnd : : : : +TD_CLK27 : B14 : input : 3.3-V LVTTL : : 8 : Y +ENET1_RX_CLK : B15 : input : 2.5 V : : 7 : Y +GND : B16 : gnd : : : : +ENET0_TX_CLK : B17 : input : 2.5 V : : 7 : Y +ENET0_TX_ER : B18 : output : 2.5 V : : 7 : Y +ENET0_TX_DATA[3] : B19 : output : 2.5 V : : 7 : Y +GND : B20 : gnd : : : : +ENET0_MDIO : B21 : bidir : 2.5 V : : 7 : Y +ENET1_RX_COL : B22 : input : 2.5 V : : 7 : Y +ENET1_RX_DATA[0] : B23 : input : 2.5 V : : 7 : Y +GND : B24 : gnd : : : : +ENET1_TX_EN : B25 : output : 2.5 V : : 7 : Y +ENET1_TX_DATA[2] : B26 : output : 2.5 V : : 7 : Y +GND : B27 : gnd : : : : +VCCIO6 : B28 : power : : 3.3V : 6 : +GND : C1 : gnd : : : : +AUD_ADCLRCK : C2 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_ADDR[1] : C3 : output : 3.3-V LVTTL : : 8 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C4 : : : : 8 : +OTG_RST_N : C5 : output : 3.3-V LVTTL : : 8 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C6 : : : : 8 : +TD_DATA[3] : C7 : input : 3.3-V LVTTL : : 8 : Y +VGA_G[4] : C8 : output : 3.3-V LVTTL : : 8 : Y +VGA_G[7] : C9 : output : 3.3-V LVTTL : : 8 : Y +VGA_SYNC_N : C10 : output : 3.3-V LVTTL : : 8 : Y +VGA_B[2] : C11 : output : 3.3-V LVTTL : : 8 : Y +VGA_B[5] : C12 : output : 3.3-V LVTTL : : 8 : Y +VGA_VS : C13 : output : 3.3-V LVTTL : : 8 : Y +ENET0_LINK100 : C14 : input : 3.3-V LVTTL : : 8 : Y +ENET0_RX_DATA[3] : C15 : input : 2.5 V : : 7 : Y +ENET0_RX_DATA[0] : C16 : input : 2.5 V : : 7 : Y +ENET0_RX_DV : C17 : input : 2.5 V : : 7 : Y +ENET0_TX_DATA[0] : C18 : output : 2.5 V : : 7 : Y +ENET0_RST_N : C19 : output : 2.5 V : : 7 : Y +ENET0_MDC : C20 : output : 2.5 V : : 7 : Y +ENET1_RX_DATA[1] : C21 : input : 2.5 V : : 7 : Y +ENET1_TX_CLK : C22 : input : 2.5 V : : 7 : Y +ENET1_GTX_CLK : C23 : output : 2.5 V : : 7 : Y +ENET1_RX_ER : C24 : input : 2.5 V : : 7 : Y +ENET1_TX_DATA[0] : C25 : output : 2.5 V : : 7 : Y +ENET1_TX_DATA[3] : C26 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : C27 : : : : 6 : +GND : C28 : gnd : : : : +AUD_DACDAT : D1 : output : 3.3-V LVTTL : : 1 : Y +AUD_ADCDAT : D2 : input : 3.3-V LVTTL : : 1 : Y +GND : D3 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : D4 : : : : 8 : +OTG_INT : D5 : input : 3.3-V LVTTL : : 8 : Y +TD_DATA[5] : D6 : input : 3.3-V LVTTL : : 8 : Y +TD_DATA[4] : D7 : input : 3.3-V LVTTL : : 8 : Y +TD_DATA[2] : D8 : input : 3.3-V LVTTL : : 8 : Y +EX_IO[6] : D9 : bidir : 3.3-V LVTTL : : 8 : Y +VGA_R[2] : D10 : output : 3.3-V LVTTL : : 8 : Y +VGA_B[6] : D11 : output : 3.3-V LVTTL : : 8 : Y +VGA_B[7] : D12 : output : 3.3-V LVTTL : : 8 : Y +ENET1_LINK100 : D13 : input : 3.3-V LVTTL : : 8 : Y +EEP_I2C_SCLK : D14 : output : 3.3-V LVTTL : : 8 : Y +ENET0_RX_CRS : D15 : input : 2.5 V : : 7 : Y +ENET0_RX_DATA[1] : D16 : input : 2.5 V : : 7 : Y +ENET0_RX_DATA[2] : D17 : input : 2.5 V : : 7 : Y +ENET0_RX_ER : D18 : input : 2.5 V : : 7 : Y +ENET0_TX_DATA[1] : D19 : output : 2.5 V : : 7 : Y +ENET1_RX_CRS : D20 : input : 2.5 V : : 7 : Y +ENET1_RX_DATA[3] : D21 : input : 2.5 V : : 7 : Y +ENET1_RST_N : D22 : output : 2.5 V : : 7 : Y +ENET1_MDC : D23 : output : 2.5 V : : 7 : Y +ENET1_INT_N : D24 : input : 2.5 V : : 7 : Y +ENET1_MDIO : D25 : bidir : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : D26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : D28 : : : : 6 : +AUD_XCK : E1 : output : 3.3-V LVTTL : : 1 : Y +~ALTERA_FLASH_nCE_nCSO~ / RESERVED_INPUT_WITH_WEAK_PULLUP : E2 : input : 3.3-V LVTTL : : 1 : N +AUD_DACLRCK : E3 : bidir : 3.3-V LVTTL : : 1 : Y +TD_VS : E4 : input : 3.3-V LVTTL : : 8 : Y +TD_HS : E5 : input : 3.3-V LVTTL : : 8 : Y +VCCIO8 : E6 : power : : 3.3V : 8 : +TD_DATA[6] : E7 : input : 3.3-V LVTTL : : 8 : Y +TD_DATA[0] : E8 : input : 3.3-V LVTTL : : 8 : Y +VCCIO8 : E9 : power : : 3.3V : 8 : +EX_IO[5] : E10 : bidir : 3.3-V LVTTL : : 8 : Y +VGA_R[1] : E11 : output : 3.3-V LVTTL : : 8 : Y +VGA_R[0] : E12 : output : 3.3-V LVTTL : : 8 : Y +VCCIO8 : E13 : power : : 3.3V : 8 : +EEP_I2C_SDAT : E14 : bidir : 3.3-V LVTTL : : 8 : Y +ENET0_RX_COL : E15 : input : 2.5 V : : 7 : Y +VCCIO7 : E16 : power : : 2.5V : 7 : +HEX0[2] : E17 : output : 2.5 V : : 7 : Y +LEDR[5] : E18 : output : 2.5 V : : 7 : Y +LEDR[2] : E19 : output : 2.5 V : : 7 : Y +VCCIO7 : E20 : power : : 2.5V : 7 : +LEDG[0] : E21 : output : 2.5 V : : 7 : Y +LEDG[1] : E22 : output : 2.5 V : : 7 : Y +VCCIO7 : E23 : power : : 2.5V : 7 : +LEDG[3] : E24 : output : 2.5 V : : 7 : Y +LEDG[2] : E25 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : E26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : E28 : : : : 6 : +OTG_DATA[13] : F1 : bidir : 3.3-V LVTTL : : 1 : Y +AUD_BCLK : F2 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[14] : F3 : bidir : 3.3-V LVTTL : : 1 : Y +~ALTERA_ASDO_DATA1~ / RESERVED_INPUT_WITH_WEAK_PULLUP : F4 : input : 3.3-V LVTTL : : 1 : N +PS2_DAT2 : F5 : bidir : 3.3-V LVTTL : : 1 : Y +GND : F6 : gnd : : : : +TD_DATA[7] : F7 : input : 3.3-V LVTTL : : 8 : Y +VGA_G[2] : F8 : output : 3.3-V LVTTL : : 8 : Y +GND : F9 : gnd : : : : +VGA_G[6] : F10 : output : 3.3-V LVTTL : : 8 : Y +VGA_BLANK_N : F11 : output : 3.3-V LVTTL : : 8 : Y +VGA_R[3] : F12 : output : 3.3-V LVTTL : : 8 : Y +GND : F13 : gnd : : : : +EX_IO[4] : F14 : bidir : 3.3-V LVTTL : : 8 : Y +LEDR[14] : F15 : output : 2.5 V : : 7 : Y +GND : F16 : gnd : : : : +LEDG[8] : F17 : output : 2.5 V : : 7 : Y +LEDR[4] : F18 : output : 2.5 V : : 7 : Y +LEDR[1] : F19 : output : 2.5 V : : 7 : Y +GND : F20 : gnd : : : : +LEDR[3] : F21 : output : 2.5 V : : 7 : Y +HEX0[1] : F22 : output : 2.5 V : : 7 : Y +GND : F23 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : F24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : F28 : : : : 6 : +OTG_DATA[10] : G1 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[11] : G2 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[12] : G3 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[15] : G4 : bidir : 3.3-V LVTTL : : 1 : Y +PS2_CLK2 : G5 : bidir : 3.3-V LVTTL : : 1 : Y +PS2_CLK : G6 : bidir : 3.3-V LVTTL : : 1 : Y +TD_RESET_N : G7 : output : 3.3-V LVTTL : : 8 : Y +VGA_G[0] : G8 : output : 3.3-V LVTTL : : 8 : Y +UART_TXD : G9 : output : 3.3-V LVTTL : : 8 : Y +VGA_R[4] : G10 : output : 3.3-V LVTTL : : 8 : Y +VGA_G[1] : G11 : output : 3.3-V LVTTL : : 8 : Y +UART_RXD : G12 : input : 3.3-V LVTTL : : 8 : Y +VGA_HS : G13 : output : 3.3-V LVTTL : : 8 : Y +UART_RTS : G14 : output : 3.3-V LVTTL : : 8 : Y +LEDR[15] : G15 : output : 2.5 V : : 7 : Y +LEDR[16] : G16 : output : 2.5 V : : 7 : Y +LEDR[9] : G17 : output : 2.5 V : : 7 : Y +HEX0[0] : G18 : output : 2.5 V : : 7 : Y +LEDR[0] : G19 : output : 2.5 V : : 7 : Y +LEDG[5] : G20 : output : 2.5 V : : 7 : Y +LEDG[7] : G21 : output : 2.5 V : : 7 : Y +LEDG[6] : G22 : output : 2.5 V : : 7 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : G23 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : G28 : : : : 6 : +VCCIO1 : H1 : power : : 3.3V : 1 : +GND : H2 : gnd : : : : +OTG_DATA[8] : H3 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[9] : H4 : bidir : 3.3-V LVTTL : : 1 : Y +PS2_DAT : H5 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[7] : H6 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_ADDR[0] : H7 : output : 3.3-V LVTTL : : 1 : Y +VGA_R[6] : H8 : output : 3.3-V LVTTL : : 8 : Y +GNDA3 : H9 : gnd : : : : +VGA_R[7] : H10 : output : 3.3-V LVTTL : : 8 : Y +VCCIO8 : H11 : power : : 3.3V : 8 : +VGA_G[3] : H12 : output : 3.3-V LVTTL : : 8 : Y +EX_IO[2] : H13 : bidir : 3.3-V LVTTL : : 8 : Y +EX_IO[3] : H14 : bidir : 3.3-V LVTTL : : 8 : Y +LEDR[17] : H15 : output : 2.5 V : : 7 : Y +LEDR[11] : H16 : output : 2.5 V : : 7 : Y +LEDR[13] : H17 : output : 2.5 V : : 7 : Y +VCCIO7 : H18 : power : : 2.5V : 7 : +LEDR[7] : H19 : output : 2.5 V : : 7 : Y +GNDA2 : H20 : gnd : : : : +LEDG[4] : H21 : output : 2.5 V : : 7 : Y +HEX0[6] : H22 : output : 3.3-V LVTTL : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : H23 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : H26 : : : : 6 : +GND : H27 : gnd : : : : +VCCIO6 : H28 : power : : 3.3V : 6 : +GND+ : J1 : : : : 1 : +GND : J2 : gnd : : : : +OTG_DATA[5] : J3 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[4] : J4 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[2] : J5 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[0] : J6 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[6] : J7 : bidir : 3.3-V LVTTL : : 1 : Y +VCCA3 : J8 : power : : 2.5V : : +VCCD_PLL3 : J9 : power : : 1.2V : : +EX_IO[0] : J10 : bidir : 3.3-V LVTTL : : 8 : Y +GND : J11 : gnd : : : : +VGA_R[5] : J12 : output : 3.3-V LVTTL : : 8 : Y +UART_CTS : J13 : input : 3.3-V LVTTL : : 8 : Y +EX_IO[1] : J14 : bidir : 3.3-V LVTTL : : 8 : Y +LEDR[10] : J15 : output : 2.5 V : : 7 : Y +LEDR[12] : J16 : output : 2.5 V : : 7 : Y +LEDR[8] : J17 : output : 2.5 V : : 7 : Y +GND : J18 : gnd : : : : +LEDR[6] : J19 : output : 2.5 V : : 7 : Y +VCCD_PLL2 : J20 : power : : 1.2V : : +VCCA2 : J21 : power : : 2.5V : : +HEX0[5] : J22 : output : 3.3-V LVTTL : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : J23 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : J26 : : : : 6 : +GND+ : J27 : : : : 6 : +GND+ : J28 : : : : 6 : +LCD_DATA[4] : K1 : bidir : 3.3-V LVTTL : : 1 : Y +LCD_DATA[5] : K2 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[3] : K3 : bidir : 3.3-V LVTTL : : 1 : Y +OTG_DATA[1] : K4 : bidir : 3.3-V LVTTL : : 1 : Y +VCCIO1 : K5 : power : : 3.3V : 1 : +GND : K6 : gnd : : : : +LCD_DATA[3] : K7 : bidir : 3.3-V LVTTL : : 1 : Y +DRAM_DQM[2] : K8 : output : 3.3-V LVTTL : : 1 : Y +VCCINT : K9 : power : : 1.2V : : +GND : K10 : gnd : : : : +VCCINT : K11 : power : : 1.2V : : +GND : K12 : gnd : : : : +VCCINT : K13 : power : : 1.2V : : +GND : K14 : gnd : : : : +VCCINT : K15 : power : : 1.2V : : +GND : K16 : gnd : : : : +VCCINT : K17 : power : : 1.2V : : +GND : K18 : gnd : : : : +VCCINT : K19 : power : : 1.2V : : +GND : K20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : K21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K22 : : : : 6 : +GND : K23 : gnd : : : : +VCCIO6 : K24 : power : : 3.3V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : K28 : : : : 6 : +LCD_DATA[1] : L1 : bidir : 3.3-V LVTTL : : 1 : Y +LCD_DATA[2] : L2 : bidir : 3.3-V LVTTL : : 1 : Y +LCD_DATA[0] : L3 : bidir : 3.3-V LVTTL : : 1 : Y +LCD_EN : L4 : output : 3.3-V LVTTL : : 1 : Y +LCD_ON : L5 : output : 3.3-V LVTTL : : 1 : Y +LCD_BLON : L6 : output : 3.3-V LVTTL : : 1 : Y +DRAM_DQ[23] : L7 : bidir : 3.3-V LVTTL : : 1 : Y +DRAM_DQ[17] : L8 : bidir : 3.3-V LVTTL : : 1 : Y +GND : L9 : gnd : : : : +VCCINT : L10 : power : : 1.2V : : +GND : L11 : gnd : : : : +VCCINT : L12 : power : : 1.2V : : +GND : L13 : gnd : : : : +VCCINT : L14 : power : : 1.2V : : +GND : L15 : gnd : : : : +VCCINT : L16 : power : : 1.2V : : +GND : L17 : gnd : : : : +VCCINT : L18 : power : : 1.2V : : +GND : L19 : gnd : : : : +VCCINT : L20 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : L21 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L22 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L23 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L24 : : : : 6 : +HEX0[4] : L25 : output : 3.3-V LVTTL : : 6 : Y +HEX0[3] : L26 : output : 3.3-V LVTTL : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : L27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : L28 : : : : 6 : +LCD_RW : M1 : output : 3.3-V LVTTL : : 1 : Y +LCD_RS : M2 : output : 3.3-V LVTTL : : 1 : Y +LCD_DATA[6] : M3 : bidir : 3.3-V LVTTL : : 1 : Y +DRAM_DQ[21] : M4 : bidir : 3.3-V LVTTL : : 1 : Y +LCD_DATA[7] : M5 : bidir : 3.3-V LVTTL : : 1 : Y +nSTATUS : M6 : : : : 1 : +DRAM_DQ[22] : M7 : bidir : 3.3-V LVTTL : : 1 : Y +DRAM_DQ[16] : M8 : bidir : 3.3-V LVTTL : : 1 : Y +VCCINT : M9 : power : : 1.2V : : +GND : M10 : gnd : : : : +VCCINT : M11 : power : : 1.2V : : +GND : M12 : gnd : : : : +VCCINT : M13 : power : : 1.2V : : +GND : M14 : gnd : : : : +VCCINT : M15 : power : : 1.2V : : +GND : M16 : gnd : : : : +VCCINT : M17 : power : : 1.2V : : +GND : M18 : gnd : : : : +VCCINT : M19 : power : : 1.2V : : +GND : M20 : gnd : : : : +KEY[1] : M21 : input : 3.3-V LVTTL : : 6 : Y +MSEL2 : M22 : : : : 6 : +KEY[0] : M23 : input : 3.3-V LVTTL : : 6 : Y +HEX1[0] : M24 : output : 3.3-V LVTTL : : 6 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : M25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M27 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : M28 : : : : 6 : +VCCIO1 : N1 : power : : 3.3V : 1 : +GND : N2 : gnd : : : : +DRAM_DQ[19] : N3 : bidir : 3.3-V LVTTL : : 1 : Y +DRAM_DQ[20] : N4 : bidir : 3.3-V LVTTL : : 1 : Y +VCCIO1 : N5 : power : : 3.3V : 1 : +GND : N6 : gnd : : : : +~ALTERA_DATA0~ / RESERVED_INPUT_WITH_WEAK_PULLUP : N7 : input : 3.3-V LVTTL : : 1 : N +DRAM_DQM[3] : N8 : output : 3.3-V LVTTL : : 1 : Y +GND : N9 : gnd : : : : +VCCINT : N10 : power : : 1.2V : : +GND : N11 : gnd : : : : +VCCINT : N12 : power : : 1.2V : : +GND : N13 : gnd : : : : +VCCINT : N14 : power : : 1.2V : : +GND : N15 : gnd : : : : +VCCINT : N16 : power : : 1.2V : : +GND : N17 : gnd : : : : +VCCINT : N18 : power : : 1.2V : : +GND : N19 : gnd : : : : +VCCINT : N20 : power : : 1.2V : : +KEY[2] : N21 : input : 3.3-V LVTTL : : 6 : Y +MSEL0 : N22 : : : : 6 : +GND : N23 : gnd : : : : +VCCIO6 : N24 : power : : 3.3V : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : N26 : : : : 6 : +GND : N27 : gnd : : : : +VCCIO6 : N28 : power : : 3.3V : 6 : +DRAM_ADDR[3] : P1 : output : 3.3-V LVTTL : : 1 : Y +DRAM_DQ[18] : P2 : bidir : 3.3-V LVTTL : : 1 : Y +~ALTERA_DCLK~ : P3 : output : 3.3-V LVTTL : : 1 : N +nCONFIG : P4 : : : : 1 : +TCK : P5 : input : : : 1 : +TDO : P6 : output : : : 1 : +TDI : P7 : input : : : 1 : +TMS : P8 : input : : : 1 : +VCCINT : P9 : power : : 1.2V : : +GND : P10 : gnd : : : : +VCCINT : P11 : power : : 1.2V : : +GND : P12 : gnd : : : : +VCCINT : P13 : power : : 1.2V : : +GND : P14 : gnd : : : : +VCCINT : P15 : power : : 1.2V : : +GND : P16 : gnd : : : : +VCCINT : P17 : power : : 1.2V : : +GND : P18 : gnd : : : : +VCCINT : P19 : power : : 1.2V : : +GND : P20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : P21 : : : : 5 : +MSEL3 : P22 : : : : 6 : +MSEL1 : P23 : : : : 6 : +CONF_DONE : P24 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P25 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P26 : : : : 6 : +RESERVED_INPUT_WITH_WEAK_PULLUP : P27 : : : : 6 : +~ALTERA_nCEO~ / RESERVED_OUTPUT_OPEN_DRAIN : P28 : output : 3.3-V LVTTL : : 6 : N +DRAM_DQ[26] : R1 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[27] : R2 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[28] : R3 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_BA[1] : R4 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[10] : R5 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[0] : R6 : output : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[25] : R7 : bidir : 3.3-V LVTTL : : 2 : Y +nCE : R8 : : : : 1 : +GND : R9 : gnd : : : : +VCCINT : R10 : power : : 1.2V : : +GND : R11 : gnd : : : : +VCCINT : R12 : power : : 1.2V : : +GND : R13 : gnd : : : : +VCCINT : R14 : power : : 1.2V : : +GND : R15 : gnd : : : : +VCCINT : R16 : power : : 1.2V : : +GND : R17 : gnd : : : : +VCCINT : R18 : power : : 1.2V : : +GND : R19 : gnd : : : : +VCCINT : R20 : power : : 1.2V : : +RESERVED_INPUT_WITH_WEAK_PULLUP : R21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R23 : : : : 5 : +KEY[3] : R24 : input : 3.3-V LVTTL : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : R25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : R28 : : : : 5 : +VCCIO2 : T1 : power : : 3.3V : 2 : +GND : T2 : gnd : : : : +DRAM_DQ[29] : T3 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_CS_N : T4 : output : 3.3-V LVTTL : : 2 : Y +VCCIO2 : T5 : power : : 3.3V : 2 : +GND : T6 : gnd : : : : +SRAM_ADDR[9] : T7 : output : 3.3-V LVTTL : : 2 : Y +SRAM_ADDR[19] : T8 : output : 3.3-V LVTTL : : 2 : Y +VCCINT : T9 : power : : 1.2V : : +GND : T10 : gnd : : : : +VCCINT : T11 : power : : 1.2V : : +GND : T12 : gnd : : : : +VCCINT : T13 : power : : 1.2V : : +GND : T14 : gnd : : : : +VCCINT : T15 : power : : 1.2V : : +GND : T16 : gnd : : : : +VCCINT : T17 : power : : 1.2V : : +GND : T18 : gnd : : : : +VCCINT : T19 : power : : 1.2V : : +GND : T20 : gnd : : : : +RESERVED_INPUT_WITH_WEAK_PULLUP : T21 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T22 : : : : 5 : +GND : T23 : gnd : : : : +VCCIO5 : T24 : power : : 3.3V : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : T26 : : : : 5 : +GND : T27 : gnd : : : : +VCCIO5 : T28 : power : : 3.3V : 5 : +DRAM_DQ[31] : U1 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQM[0] : U2 : output : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[7] : U3 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[30] : U4 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[24] : U5 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_RAS_N : U6 : output : 3.3-V LVTTL : : 2 : Y +DRAM_BA[0] : U7 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[2] : U8 : output : 3.3-V LVTTL : : 2 : Y +GND : U9 : gnd : : : : +VCCINT : U10 : power : : 1.2V : : +GND : U11 : gnd : : : : +VCCINT : U12 : power : : 1.2V : : +GND : U13 : gnd : : : : +VCCINT : U14 : power : : 1.2V : : +GND : U15 : gnd : : : : +VCCINT : U16 : power : : 1.2V : : +GND : U17 : gnd : : : : +VCCINT : U18 : power : : 1.2V : : +GND : U19 : gnd : : : : +VCCINT : U20 : power : : 1.2V : : +HEX3[1] : U21 : output : 3.3-V LVTTL : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : U22 : : : : 5 : +HEX1[5] : U23 : output : 3.3-V LVTTL : : 5 : Y +HEX1[6] : U24 : output : 3.3-V LVTTL : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : U25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : U28 : : : : 5 : +DRAM_DQ[6] : V1 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[5] : V2 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[4] : V3 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[2] : V4 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[4] : V5 : output : 3.3-V LVTTL : : 2 : Y +DRAM_WE_N : V6 : output : 3.3-V LVTTL : : 2 : Y +DRAM_CAS_N : V7 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[1] : V8 : output : 3.3-V LVTTL : : 2 : Y +VCCINT : V9 : power : : 1.2V : : +GND : V10 : gnd : : : : +VCCINT : V11 : power : : 1.2V : : +GND : V12 : gnd : : : : +VCCINT : V13 : power : : 1.2V : : +GND : V14 : gnd : : : : +VCCINT : V15 : power : : 1.2V : : +GND : V16 : gnd : : : : +VCCINT : V17 : power : : 1.2V : : +GND : V18 : gnd : : : : +VCCINT : V19 : power : : 1.2V : : +GND : V20 : gnd : : : : +HEX3[0] : V21 : output : 3.3-V LVTTL : : 5 : Y +RESERVED_INPUT_WITH_WEAK_PULLUP : V22 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V23 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V24 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V25 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V26 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V27 : : : : 5 : +RESERVED_INPUT_WITH_WEAK_PULLUP : V28 : : : : 5 : +DRAM_DQ[3] : W1 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[1] : W2 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[0] : W3 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQM[1] : W4 : output : 3.3-V LVTTL : : 2 : Y +VCCIO2 : W5 : power : : 3.3V : 2 : +GND : W6 : gnd : : : : +DRAM_ADDR[6] : W7 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[5] : W8 : output : 3.3-V LVTTL : : 2 : Y +GND : W9 : gnd : : : : +VCCINT : W10 : power : : 1.2V : : +GND : W11 : gnd : : : : +VCCINT : W12 : power : : 1.2V : : +GND : W13 : gnd : : : : +VCCINT : W14 : power : : 1.2V : : +GND : W15 : gnd : : : : +VCCINT : W16 : power : : 1.2V : : +GND : W17 : gnd : : : : +VCCINT : W18 : power : : 1.2V : : +GND : W19 : gnd : : : : +VCCINT : W20 : power : : 1.2V : : +HEX1[2] : W21 : output : 3.3-V LVTTL : : 5 : Y +HEX1[3] : W22 : output : 3.3-V LVTTL : : 5 : Y +GND : W23 : gnd : : : : +VCCIO5 : W24 : power : : 3.3V : 5 : +HEX1[4] : W25 : output : 3.3-V LVTTL : : 5 : Y +HEX2[3] : W26 : output : 3.3-V LVTTL : : 5 : Y +HEX2[5] : W27 : output : 3.3-V LVTTL : : 5 : Y +HEX2[6] : W28 : output : 3.3-V LVTTL : : 5 : Y +FL_RY : Y1 : input : 3.3-V LVTTL : : 2 : Y +CLOCK_50 : Y2 : input : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[8] : Y3 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_DQ[9] : Y4 : bidir : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[8] : Y5 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[9] : Y6 : output : 3.3-V LVTTL : : 2 : Y +DRAM_ADDR[12] : Y7 : output : 3.3-V LVTTL : : 2 : Y +VCCA1 : Y8 : power : : 2.5V : : +VCCD_PLL1 : Y9 : power : : 1.2V : : +FL_ADDR[15] : Y10 : output : 3.3-V LVTTL : : 3 : Y +GND : Y11 : gnd : : : : +FL_ADDR[4] : Y12 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[2] : Y13 : output : 3.3-V LVTTL : : 3 : Y +FL_ADDR[3] : Y14 : output : 3.3-V LVTTL : : 3 : Y +IRDA_RXD : Y15 : input : 3.3-V LVTTL : : 3 : Y +GPIO[5] : Y16 : bidir : 3.3-V LVTTL : : 4 : Y +GPIO[3] : Y17 : bidir : 3.3-V LVTTL : : 4 : Y +GND : Y18 : gnd : : : : +HEX3[6] : Y19 : output : 3.3-V LVTTL : : 4 : Y +VCCD_PLL4 : Y20 : power : : 1.2V : : +VCCA4 : Y21 : power : : 2.5V : : +HEX1[1] : Y22 : output : 3.3-V LVTTL : : 5 : Y +SW[17] : Y23 : input : 3.3-V LVTTL : : 5 : Y +SW[16] : Y24 : input : 3.3-V LVTTL : : 5 : Y +HEX2[2] : Y25 : output : 3.3-V LVTTL : : 5 : Y +HEX2[4] : Y26 : output : 3.3-V LVTTL : : 5 : Y +GND+ : Y27 : : : : 5 : +GND+ : Y28 : : : : 5 : diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v3.qpf b/examples/hdl4se_riscv/de2/de2_riscv_v3.qpf new file mode 100644 index 0000000..1ff2e4e --- /dev/null +++ b/examples/hdl4se_riscv/de2/de2_riscv_v3.qpf @@ -0,0 +1,6 @@ +DATE = "20:10:06 August 31, 2021" +QUARTUS_VERSION = "12.0" + +# Revisions + +PROJECT_REVISION = "de2_riscv_v3" diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v3.qsf b/examples/hdl4se_riscv/de2/de2_riscv_v3.qsf new file mode 100644 index 0000000..9d63467 --- /dev/null +++ b/examples/hdl4se_riscv/de2/de2_riscv_v3.qsf @@ -0,0 +1,1015 @@ +#============================================================ +# Build by Terasic System Builder +#============================================================ + +set_global_assignment -name FAMILY "Cyclone IV E" +set_global_assignment -name DEVICE EP4CE115F29C7 +set_global_assignment -name TOP_LEVEL_ENTITY "de2_riscv_v3" +set_global_assignment -name ORIGINAL_QUARTUS_VERSION "12.0" +set_global_assignment -name LAST_QUARTUS_VERSION 13.1 +set_global_assignment -name PROJECT_CREATION_TIME_DATE "20:10:06 AUGUST 31,2021" +set_global_assignment -name DEVICE_FILTER_PACKAGE FBGA +set_global_assignment -name DEVICE_FILTER_PIN_COUNT 780 +set_global_assignment -name DEVICE_FILTER_SPEED_GRADE 7 +set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "3.3-V LVTTL" + +#============================================================ +# CLOCK +#============================================================ +set_location_assignment PIN_Y2 -to CLOCK_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK_50 +set_location_assignment PIN_AG14 -to CLOCK2_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK2_50 +set_location_assignment PIN_AG15 -to CLOCK3_50 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to CLOCK3_50 + +#============================================================ +# Sma +#============================================================ +set_location_assignment PIN_AH14 -to SMA_CLKIN +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKIN +set_location_assignment PIN_AE23 -to SMA_CLKOUT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SMA_CLKOUT + +#============================================================ +# LED +#============================================================ +set_location_assignment PIN_G19 -to LEDR[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[0] +set_location_assignment PIN_F19 -to LEDR[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[1] +set_location_assignment PIN_E19 -to LEDR[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[2] +set_location_assignment PIN_F21 -to LEDR[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[3] +set_location_assignment PIN_F18 -to LEDR[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[4] +set_location_assignment PIN_E18 -to LEDR[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[5] +set_location_assignment PIN_J19 -to LEDR[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[6] +set_location_assignment PIN_H19 -to LEDR[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[7] +set_location_assignment PIN_J17 -to LEDR[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[8] +set_location_assignment PIN_G17 -to LEDR[9] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[9] +set_location_assignment PIN_J15 -to LEDR[10] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[10] +set_location_assignment PIN_H16 -to LEDR[11] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[11] +set_location_assignment PIN_J16 -to LEDR[12] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[12] +set_location_assignment PIN_H17 -to LEDR[13] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[13] +set_location_assignment PIN_F15 -to LEDR[14] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[14] +set_location_assignment PIN_G15 -to LEDR[15] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[15] +set_location_assignment PIN_G16 -to LEDR[16] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[16] +set_location_assignment PIN_H15 -to LEDR[17] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDR[17] +set_location_assignment PIN_E21 -to LEDG[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[0] +set_location_assignment PIN_E22 -to LEDG[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[1] +set_location_assignment PIN_E25 -to LEDG[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[2] +set_location_assignment PIN_E24 -to LEDG[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[3] +set_location_assignment PIN_H21 -to LEDG[4] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[4] +set_location_assignment PIN_G20 -to LEDG[5] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[5] +set_location_assignment PIN_G22 -to LEDG[6] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[6] +set_location_assignment PIN_G21 -to LEDG[7] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[7] +set_location_assignment PIN_F17 -to LEDG[8] +set_instance_assignment -name IO_STANDARD "2.5 V" -to LEDG[8] + +#============================================================ +# KEY +#============================================================ +set_location_assignment PIN_M23 -to KEY[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[0] +set_location_assignment PIN_M21 -to KEY[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[1] +set_location_assignment PIN_N21 -to KEY[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[2] +set_location_assignment PIN_R24 -to KEY[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to KEY[3] + +#============================================================ +# EX_IO +#============================================================ +set_location_assignment PIN_J10 -to EX_IO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[0] +set_location_assignment PIN_J14 -to EX_IO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[1] +set_location_assignment PIN_H13 -to EX_IO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[2] +set_location_assignment PIN_H14 -to EX_IO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[3] +set_location_assignment PIN_F14 -to EX_IO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[4] +set_location_assignment PIN_E10 -to EX_IO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[5] +set_location_assignment PIN_D9 -to EX_IO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EX_IO[6] + +#============================================================ +# SW +#============================================================ +set_location_assignment PIN_AB28 -to SW[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[0] +set_location_assignment PIN_AC28 -to SW[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[1] +set_location_assignment PIN_AC27 -to SW[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[2] +set_location_assignment PIN_AD27 -to SW[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[3] +set_location_assignment PIN_AB27 -to SW[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[4] +set_location_assignment PIN_AC26 -to SW[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[5] +set_location_assignment PIN_AD26 -to SW[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[6] +set_location_assignment PIN_AB26 -to SW[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[7] +set_location_assignment PIN_AC25 -to SW[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[8] +set_location_assignment PIN_AB25 -to SW[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[9] +set_location_assignment PIN_AC24 -to SW[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[10] +set_location_assignment PIN_AB24 -to SW[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[11] +set_location_assignment PIN_AB23 -to SW[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[12] +set_location_assignment PIN_AA24 -to SW[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[13] +set_location_assignment PIN_AA23 -to SW[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[14] +set_location_assignment PIN_AA22 -to SW[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[15] +set_location_assignment PIN_Y24 -to SW[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[16] +set_location_assignment PIN_Y23 -to SW[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SW[17] + +#============================================================ +# SEG7 +#============================================================ +set_location_assignment PIN_G18 -to HEX0[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[0] +set_location_assignment PIN_F22 -to HEX0[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[1] +set_location_assignment PIN_E17 -to HEX0[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to HEX0[2] +set_location_assignment PIN_L26 -to HEX0[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[3] +set_location_assignment PIN_L25 -to HEX0[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[4] +set_location_assignment PIN_J22 -to HEX0[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[5] +set_location_assignment PIN_H22 -to HEX0[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX0[6] +set_location_assignment PIN_M24 -to HEX1[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[0] +set_location_assignment PIN_Y22 -to HEX1[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[1] +set_location_assignment PIN_W21 -to HEX1[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[2] +set_location_assignment PIN_W22 -to HEX1[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[3] +set_location_assignment PIN_W25 -to HEX1[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[4] +set_location_assignment PIN_U23 -to HEX1[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[5] +set_location_assignment PIN_U24 -to HEX1[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX1[6] +set_location_assignment PIN_AA25 -to HEX2[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[0] +set_location_assignment PIN_AA26 -to HEX2[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[1] +set_location_assignment PIN_Y25 -to HEX2[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[2] +set_location_assignment PIN_W26 -to HEX2[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[3] +set_location_assignment PIN_Y26 -to HEX2[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[4] +set_location_assignment PIN_W27 -to HEX2[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[5] +set_location_assignment PIN_W28 -to HEX2[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX2[6] +set_location_assignment PIN_V21 -to HEX3[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[0] +set_location_assignment PIN_U21 -to HEX3[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[1] +set_location_assignment PIN_AB20 -to HEX3[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[2] +set_location_assignment PIN_AA21 -to HEX3[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[3] +set_location_assignment PIN_AD24 -to HEX3[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[4] +set_location_assignment PIN_AF23 -to HEX3[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[5] +set_location_assignment PIN_Y19 -to HEX3[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX3[6] +set_location_assignment PIN_AB19 -to HEX4[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[0] +set_location_assignment PIN_AA19 -to HEX4[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[1] +set_location_assignment PIN_AG21 -to HEX4[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[2] +set_location_assignment PIN_AH21 -to HEX4[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[3] +set_location_assignment PIN_AE19 -to HEX4[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[4] +set_location_assignment PIN_AF19 -to HEX4[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[5] +set_location_assignment PIN_AE18 -to HEX4[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX4[6] +set_location_assignment PIN_AD18 -to HEX5[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[0] +set_location_assignment PIN_AC18 -to HEX5[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[1] +set_location_assignment PIN_AB18 -to HEX5[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[2] +set_location_assignment PIN_AH19 -to HEX5[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[3] +set_location_assignment PIN_AG19 -to HEX5[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[4] +set_location_assignment PIN_AF18 -to HEX5[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[5] +set_location_assignment PIN_AH18 -to HEX5[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX5[6] +set_location_assignment PIN_AA17 -to HEX6[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[0] +set_location_assignment PIN_AB16 -to HEX6[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[1] +set_location_assignment PIN_AA16 -to HEX6[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[2] +set_location_assignment PIN_AB17 -to HEX6[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[3] +set_location_assignment PIN_AB15 -to HEX6[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[4] +set_location_assignment PIN_AA15 -to HEX6[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[5] +set_location_assignment PIN_AC17 -to HEX6[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX6[6] +set_location_assignment PIN_AD17 -to HEX7[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[0] +set_location_assignment PIN_AE17 -to HEX7[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[1] +set_location_assignment PIN_AG17 -to HEX7[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[2] +set_location_assignment PIN_AH17 -to HEX7[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[3] +set_location_assignment PIN_AF17 -to HEX7[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[4] +set_location_assignment PIN_AG18 -to HEX7[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[5] +set_location_assignment PIN_AA14 -to HEX7[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to HEX7[6] + +#============================================================ +# LCD +#============================================================ +set_location_assignment PIN_L3 -to LCD_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[0] +set_location_assignment PIN_L1 -to LCD_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[1] +set_location_assignment PIN_L2 -to LCD_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[2] +set_location_assignment PIN_K7 -to LCD_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[3] +set_location_assignment PIN_K1 -to LCD_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[4] +set_location_assignment PIN_K2 -to LCD_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[5] +set_location_assignment PIN_M3 -to LCD_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[6] +set_location_assignment PIN_M5 -to LCD_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_DATA[7] +set_location_assignment PIN_L6 -to LCD_BLON +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_BLON +set_location_assignment PIN_M1 -to LCD_RW +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RW +set_location_assignment PIN_L4 -to LCD_EN +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_EN +set_location_assignment PIN_M2 -to LCD_RS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_RS +set_location_assignment PIN_L5 -to LCD_ON +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to LCD_ON + +#============================================================ +# RS232 +#============================================================ +set_location_assignment PIN_G9 -to UART_TXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_TXD +set_location_assignment PIN_G12 -to UART_RXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RXD +set_location_assignment PIN_G14 -to UART_RTS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_RTS +set_location_assignment PIN_J13 -to UART_CTS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to UART_CTS + +#============================================================ +# PS2 for Keyboard and Mouse +#============================================================ +set_location_assignment PIN_G6 -to PS2_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK +set_location_assignment PIN_H5 -to PS2_DAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT +set_location_assignment PIN_G5 -to PS2_CLK2 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_CLK2 +set_location_assignment PIN_F5 -to PS2_DAT2 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to PS2_DAT2 + +#============================================================ +# SDCARD +#============================================================ +set_location_assignment PIN_AD14 -to SD_CMD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CMD +set_location_assignment PIN_AE13 -to SD_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_CLK +set_location_assignment PIN_AF14 -to SD_WP_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_WP_N +set_location_assignment PIN_AE14 -to SD_DAT[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[0] +set_location_assignment PIN_AF13 -to SD_DAT[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[1] +set_location_assignment PIN_AB14 -to SD_DAT[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[2] +set_location_assignment PIN_AC14 -to SD_DAT[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SD_DAT[3] + +#============================================================ +# VGA +#============================================================ +set_location_assignment PIN_G13 -to VGA_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_HS +set_location_assignment PIN_C13 -to VGA_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_VS +set_location_assignment PIN_C10 -to VGA_SYNC_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_SYNC_N +set_location_assignment PIN_A12 -to VGA_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_CLK +set_location_assignment PIN_F11 -to VGA_BLANK_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_BLANK_N +set_location_assignment PIN_E12 -to VGA_R[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[0] +set_location_assignment PIN_E11 -to VGA_R[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[1] +set_location_assignment PIN_D10 -to VGA_R[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[2] +set_location_assignment PIN_F12 -to VGA_R[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[3] +set_location_assignment PIN_G10 -to VGA_R[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[4] +set_location_assignment PIN_J12 -to VGA_R[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[5] +set_location_assignment PIN_H8 -to VGA_R[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[6] +set_location_assignment PIN_H10 -to VGA_R[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_R[7] +set_location_assignment PIN_G8 -to VGA_G[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[0] +set_location_assignment PIN_G11 -to VGA_G[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[1] +set_location_assignment PIN_F8 -to VGA_G[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[2] +set_location_assignment PIN_H12 -to VGA_G[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[3] +set_location_assignment PIN_C8 -to VGA_G[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[4] +set_location_assignment PIN_B8 -to VGA_G[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[5] +set_location_assignment PIN_F10 -to VGA_G[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[6] +set_location_assignment PIN_C9 -to VGA_G[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_G[7] +set_location_assignment PIN_B10 -to VGA_B[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[0] +set_location_assignment PIN_A10 -to VGA_B[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[1] +set_location_assignment PIN_C11 -to VGA_B[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[2] +set_location_assignment PIN_B11 -to VGA_B[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[3] +set_location_assignment PIN_A11 -to VGA_B[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[4] +set_location_assignment PIN_C12 -to VGA_B[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[5] +set_location_assignment PIN_D11 -to VGA_B[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[6] +set_location_assignment PIN_D12 -to VGA_B[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to VGA_B[7] + +#============================================================ +# Audio +#============================================================ +set_location_assignment PIN_C2 -to AUD_ADCLRCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCLRCK +set_location_assignment PIN_D2 -to AUD_ADCDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_ADCDAT +set_location_assignment PIN_E3 -to AUD_DACLRCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACLRCK +set_location_assignment PIN_D1 -to AUD_DACDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_DACDAT +set_location_assignment PIN_E1 -to AUD_XCK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_XCK +set_location_assignment PIN_F2 -to AUD_BCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to AUD_BCLK + +#============================================================ +# I2C for EEPROM +#============================================================ +set_location_assignment PIN_D14 -to EEP_I2C_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SCLK +set_location_assignment PIN_E14 -to EEP_I2C_SDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to EEP_I2C_SDAT + +#============================================================ +# I2C for Audio Tv-Decoder +#============================================================ +set_location_assignment PIN_B7 -to I2C_SCLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SCLK +set_location_assignment PIN_A8 -to I2C_SDAT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to I2C_SDAT + +#============================================================ +# Ethernet 0 +#============================================================ +set_location_assignment PIN_A14 -to ENETCLK_25 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENETCLK_25 +set_location_assignment PIN_C18 -to ENET0_TX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[0] +set_location_assignment PIN_C16 -to ENET0_RX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[0] +set_location_assignment PIN_D19 -to ENET0_TX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[1] +set_location_assignment PIN_D16 -to ENET0_RX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[1] +set_location_assignment PIN_A19 -to ENET0_TX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[2] +set_location_assignment PIN_D17 -to ENET0_RX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[2] +set_location_assignment PIN_B19 -to ENET0_TX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_DATA[3] +set_location_assignment PIN_C15 -to ENET0_RX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DATA[3] +set_location_assignment PIN_A17 -to ENET0_GTX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_GTX_CLK +set_location_assignment PIN_A18 -to ENET0_TX_EN +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_EN +set_location_assignment PIN_B18 -to ENET0_TX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_ER +set_location_assignment PIN_A21 -to ENET0_INT_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_INT_N +set_location_assignment PIN_C19 -to ENET0_RST_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RST_N +set_location_assignment PIN_C17 -to ENET0_RX_DV +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_DV +set_location_assignment PIN_D18 -to ENET0_RX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_ER +set_location_assignment PIN_D15 -to ENET0_RX_CRS +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CRS +set_location_assignment PIN_E15 -to ENET0_RX_COL +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_COL +set_location_assignment PIN_A15 -to ENET0_RX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_RX_CLK +set_location_assignment PIN_B17 -to ENET0_TX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_TX_CLK +set_location_assignment PIN_C20 -to ENET0_MDC +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDC +set_location_assignment PIN_B21 -to ENET0_MDIO +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET0_MDIO +set_location_assignment PIN_C14 -to ENET0_LINK100 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET0_LINK100 + +#============================================================ +# Ethernet 1 +#============================================================ +set_location_assignment PIN_C25 -to ENET1_TX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[0] +set_location_assignment PIN_B23 -to ENET1_RX_DATA[0] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[0] +set_location_assignment PIN_A26 -to ENET1_TX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[1] +set_location_assignment PIN_C21 -to ENET1_RX_DATA[1] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[1] +set_location_assignment PIN_B26 -to ENET1_TX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[2] +set_location_assignment PIN_A23 -to ENET1_RX_DATA[2] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[2] +set_location_assignment PIN_C26 -to ENET1_TX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_DATA[3] +set_location_assignment PIN_D21 -to ENET1_RX_DATA[3] +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DATA[3] +set_location_assignment PIN_C23 -to ENET1_GTX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_GTX_CLK +set_location_assignment PIN_B25 -to ENET1_TX_EN +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_EN +set_location_assignment PIN_A25 -to ENET1_TX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_ER +set_location_assignment PIN_D24 -to ENET1_INT_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_INT_N +set_location_assignment PIN_D22 -to ENET1_RST_N +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RST_N +set_location_assignment PIN_A22 -to ENET1_RX_DV +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_DV +set_location_assignment PIN_C24 -to ENET1_RX_ER +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_ER +set_location_assignment PIN_D20 -to ENET1_RX_CRS +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CRS +set_location_assignment PIN_B22 -to ENET1_RX_COL +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_COL +set_location_assignment PIN_B15 -to ENET1_RX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_RX_CLK +set_location_assignment PIN_C22 -to ENET1_TX_CLK +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_TX_CLK +set_location_assignment PIN_D23 -to ENET1_MDC +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDC +set_location_assignment PIN_D25 -to ENET1_MDIO +set_instance_assignment -name IO_STANDARD "2.5 V" -to ENET1_MDIO +set_location_assignment PIN_D13 -to ENET1_LINK100 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to ENET1_LINK100 + +#============================================================ +# TV Decoder +#============================================================ +set_location_assignment PIN_E5 -to TD_HS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_HS +set_location_assignment PIN_E4 -to TD_VS +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_VS +set_location_assignment PIN_B14 -to TD_CLK27 +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_CLK27 +set_location_assignment PIN_G7 -to TD_RESET_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_RESET_N +set_location_assignment PIN_E8 -to TD_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[0] +set_location_assignment PIN_A7 -to TD_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[1] +set_location_assignment PIN_D8 -to TD_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[2] +set_location_assignment PIN_C7 -to TD_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[3] +set_location_assignment PIN_D7 -to TD_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[4] +set_location_assignment PIN_D6 -to TD_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[5] +set_location_assignment PIN_E7 -to TD_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[6] +set_location_assignment PIN_F7 -to TD_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to TD_DATA[7] + +#============================================================ +# USB 2.0 OTG (Cypress CY7C67200) +#============================================================ +set_location_assignment PIN_J6 -to OTG_DATA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[0] +set_location_assignment PIN_K4 -to OTG_DATA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[1] +set_location_assignment PIN_J5 -to OTG_DATA[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[2] +set_location_assignment PIN_K3 -to OTG_DATA[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[3] +set_location_assignment PIN_J4 -to OTG_DATA[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[4] +set_location_assignment PIN_J3 -to OTG_DATA[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[5] +set_location_assignment PIN_J7 -to OTG_DATA[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[6] +set_location_assignment PIN_H6 -to OTG_DATA[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[7] +set_location_assignment PIN_H3 -to OTG_DATA[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[8] +set_location_assignment PIN_H4 -to OTG_DATA[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[9] +set_location_assignment PIN_G1 -to OTG_DATA[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[10] +set_location_assignment PIN_G2 -to OTG_DATA[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[11] +set_location_assignment PIN_G3 -to OTG_DATA[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[12] +set_location_assignment PIN_F1 -to OTG_DATA[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[13] +set_location_assignment PIN_F3 -to OTG_DATA[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[14] +set_location_assignment PIN_G4 -to OTG_DATA[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_DATA[15] +set_location_assignment PIN_H7 -to OTG_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[0] +set_location_assignment PIN_C3 -to OTG_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_ADDR[1] +set_location_assignment PIN_D5 -to OTG_INT +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_INT +set_location_assignment PIN_C5 -to OTG_RST_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RST_N +set_location_assignment PIN_A3 -to OTG_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_CS_N +set_location_assignment PIN_B3 -to OTG_RD_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_RD_N +set_location_assignment PIN_A4 -to OTG_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to OTG_WE_N + +#============================================================ +# IR Receiver +#============================================================ +set_location_assignment PIN_Y15 -to IRDA_RXD +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to IRDA_RXD + +#============================================================ +# SDRAM +#============================================================ +set_location_assignment PIN_U7 -to DRAM_BA[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[0] +set_location_assignment PIN_R4 -to DRAM_BA[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_BA[1] +set_location_assignment PIN_U2 -to DRAM_DQM[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[0] +set_location_assignment PIN_W4 -to DRAM_DQM[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[1] +set_location_assignment PIN_K8 -to DRAM_DQM[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[2] +set_location_assignment PIN_N8 -to DRAM_DQM[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQM[3] +set_location_assignment PIN_U6 -to DRAM_RAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_RAS_N +set_location_assignment PIN_V7 -to DRAM_CAS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CAS_N +set_location_assignment PIN_AA6 -to DRAM_CKE +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CKE +set_location_assignment PIN_AE5 -to DRAM_CLK +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CLK +set_location_assignment PIN_V6 -to DRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_WE_N +set_location_assignment PIN_T4 -to DRAM_CS_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_CS_N +set_location_assignment PIN_W3 -to DRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[0] +set_location_assignment PIN_W2 -to DRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[1] +set_location_assignment PIN_V4 -to DRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[2] +set_location_assignment PIN_W1 -to DRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[3] +set_location_assignment PIN_V3 -to DRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[4] +set_location_assignment PIN_V2 -to DRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[5] +set_location_assignment PIN_V1 -to DRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[6] +set_location_assignment PIN_U3 -to DRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[7] +set_location_assignment PIN_Y3 -to DRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[8] +set_location_assignment PIN_Y4 -to DRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[9] +set_location_assignment PIN_AB1 -to DRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[10] +set_location_assignment PIN_AA3 -to DRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[11] +set_location_assignment PIN_AB2 -to DRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[12] +set_location_assignment PIN_AC1 -to DRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[13] +set_location_assignment PIN_AB3 -to DRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[14] +set_location_assignment PIN_AC2 -to DRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[15] +set_location_assignment PIN_M8 -to DRAM_DQ[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[16] +set_location_assignment PIN_L8 -to DRAM_DQ[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[17] +set_location_assignment PIN_P2 -to DRAM_DQ[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[18] +set_location_assignment PIN_N3 -to DRAM_DQ[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[19] +set_location_assignment PIN_N4 -to DRAM_DQ[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[20] +set_location_assignment PIN_M4 -to DRAM_DQ[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[21] +set_location_assignment PIN_M7 -to DRAM_DQ[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[22] +set_location_assignment PIN_L7 -to DRAM_DQ[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[23] +set_location_assignment PIN_U5 -to DRAM_DQ[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[24] +set_location_assignment PIN_R7 -to DRAM_DQ[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[25] +set_location_assignment PIN_R1 -to DRAM_DQ[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[26] +set_location_assignment PIN_R2 -to DRAM_DQ[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[27] +set_location_assignment PIN_R3 -to DRAM_DQ[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[28] +set_location_assignment PIN_T3 -to DRAM_DQ[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[29] +set_location_assignment PIN_U4 -to DRAM_DQ[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[30] +set_location_assignment PIN_U1 -to DRAM_DQ[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_DQ[31] +set_location_assignment PIN_R6 -to DRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[0] +set_location_assignment PIN_V8 -to DRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[1] +set_location_assignment PIN_U8 -to DRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[2] +set_location_assignment PIN_P1 -to DRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[3] +set_location_assignment PIN_V5 -to DRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[4] +set_location_assignment PIN_W8 -to DRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[5] +set_location_assignment PIN_W7 -to DRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[6] +set_location_assignment PIN_AA7 -to DRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[7] +set_location_assignment PIN_Y5 -to DRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[8] +set_location_assignment PIN_Y6 -to DRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[9] +set_location_assignment PIN_R5 -to DRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[10] +set_location_assignment PIN_AA5 -to DRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[11] +set_location_assignment PIN_Y7 -to DRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to DRAM_ADDR[12] + +#============================================================ +# SRAM +#============================================================ +set_location_assignment PIN_AB7 -to SRAM_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[0] +set_location_assignment PIN_AD7 -to SRAM_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[1] +set_location_assignment PIN_AE7 -to SRAM_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[2] +set_location_assignment PIN_AC7 -to SRAM_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[3] +set_location_assignment PIN_AB6 -to SRAM_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[4] +set_location_assignment PIN_AE6 -to SRAM_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[5] +set_location_assignment PIN_AB5 -to SRAM_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[6] +set_location_assignment PIN_AC5 -to SRAM_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[7] +set_location_assignment PIN_AF5 -to SRAM_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[8] +set_location_assignment PIN_T7 -to SRAM_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[9] +set_location_assignment PIN_AF2 -to SRAM_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[10] +set_location_assignment PIN_AD3 -to SRAM_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[11] +set_location_assignment PIN_AB4 -to SRAM_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[12] +set_location_assignment PIN_AC3 -to SRAM_ADDR[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[13] +set_location_assignment PIN_AA4 -to SRAM_ADDR[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[14] +set_location_assignment PIN_AB11 -to SRAM_ADDR[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[15] +set_location_assignment PIN_AC11 -to SRAM_ADDR[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[16] +set_location_assignment PIN_AB9 -to SRAM_ADDR[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[17] +set_location_assignment PIN_AB8 -to SRAM_ADDR[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[18] +set_location_assignment PIN_T8 -to SRAM_ADDR[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_ADDR[19] +set_location_assignment PIN_AH3 -to SRAM_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[0] +set_location_assignment PIN_AF4 -to SRAM_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[1] +set_location_assignment PIN_AG4 -to SRAM_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[2] +set_location_assignment PIN_AH4 -to SRAM_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[3] +set_location_assignment PIN_AF6 -to SRAM_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[4] +set_location_assignment PIN_AG6 -to SRAM_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[5] +set_location_assignment PIN_AH6 -to SRAM_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[6] +set_location_assignment PIN_AF7 -to SRAM_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[7] +set_location_assignment PIN_AD1 -to SRAM_DQ[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[8] +set_location_assignment PIN_AD2 -to SRAM_DQ[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[9] +set_location_assignment PIN_AE2 -to SRAM_DQ[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[10] +set_location_assignment PIN_AE1 -to SRAM_DQ[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[11] +set_location_assignment PIN_AE3 -to SRAM_DQ[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[12] +set_location_assignment PIN_AE4 -to SRAM_DQ[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[13] +set_location_assignment PIN_AF3 -to SRAM_DQ[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[14] +set_location_assignment PIN_AG3 -to SRAM_DQ[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_DQ[15] +set_location_assignment PIN_AC4 -to SRAM_UB_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_UB_N +set_location_assignment PIN_AD4 -to SRAM_LB_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_LB_N +set_location_assignment PIN_AF8 -to SRAM_CE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_CE_N +set_location_assignment PIN_AD5 -to SRAM_OE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_OE_N +set_location_assignment PIN_AE8 -to SRAM_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to SRAM_WE_N + +#============================================================ +# Flash +#============================================================ +set_location_assignment PIN_AG12 -to FL_ADDR[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[0] +set_location_assignment PIN_AH7 -to FL_ADDR[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[1] +set_location_assignment PIN_Y13 -to FL_ADDR[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[2] +set_location_assignment PIN_Y14 -to FL_ADDR[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[3] +set_location_assignment PIN_Y12 -to FL_ADDR[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[4] +set_location_assignment PIN_AA13 -to FL_ADDR[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[5] +set_location_assignment PIN_AA12 -to FL_ADDR[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[6] +set_location_assignment PIN_AB13 -to FL_ADDR[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[7] +set_location_assignment PIN_AB12 -to FL_ADDR[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[8] +set_location_assignment PIN_AB10 -to FL_ADDR[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[9] +set_location_assignment PIN_AE9 -to FL_ADDR[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[10] +set_location_assignment PIN_AF9 -to FL_ADDR[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[11] +set_location_assignment PIN_AA10 -to FL_ADDR[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[12] +set_location_assignment PIN_AD8 -to FL_ADDR[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[13] +set_location_assignment PIN_AC8 -to FL_ADDR[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[14] +set_location_assignment PIN_Y10 -to FL_ADDR[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[15] +set_location_assignment PIN_AA8 -to FL_ADDR[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[16] +set_location_assignment PIN_AH12 -to FL_ADDR[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[17] +set_location_assignment PIN_AC12 -to FL_ADDR[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[18] +set_location_assignment PIN_AD12 -to FL_ADDR[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[19] +set_location_assignment PIN_AE10 -to FL_ADDR[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[20] +set_location_assignment PIN_AD10 -to FL_ADDR[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[21] +set_location_assignment PIN_AD11 -to FL_ADDR[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_ADDR[22] +set_location_assignment PIN_AH8 -to FL_DQ[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[0] +set_location_assignment PIN_AF10 -to FL_DQ[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[1] +set_location_assignment PIN_AG10 -to FL_DQ[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[2] +set_location_assignment PIN_AH10 -to FL_DQ[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[3] +set_location_assignment PIN_AF11 -to FL_DQ[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[4] +set_location_assignment PIN_AG11 -to FL_DQ[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[5] +set_location_assignment PIN_AH11 -to FL_DQ[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[6] +set_location_assignment PIN_AF12 -to FL_DQ[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_DQ[7] +set_location_assignment PIN_AG7 -to FL_CE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_CE_N +set_location_assignment PIN_AG8 -to FL_OE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_OE_N +set_location_assignment PIN_AE11 -to FL_RST_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RST_N +set_location_assignment PIN_Y1 -to FL_RY +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_RY +set_location_assignment PIN_AC10 -to FL_WE_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WE_N +set_location_assignment PIN_AE12 -to FL_WP_N +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to FL_WP_N + +#============================================================ +# GPIO, GPIO connect to GPIO Default +#============================================================ +set_location_assignment PIN_AB22 -to GPIO[0] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[0] +set_location_assignment PIN_AC15 -to GPIO[1] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[1] +set_location_assignment PIN_AB21 -to GPIO[2] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[2] +set_location_assignment PIN_Y17 -to GPIO[3] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[3] +set_location_assignment PIN_AC21 -to GPIO[4] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[4] +set_location_assignment PIN_Y16 -to GPIO[5] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[5] +set_location_assignment PIN_AD21 -to GPIO[6] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[6] +set_location_assignment PIN_AE16 -to GPIO[7] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[7] +set_location_assignment PIN_AD15 -to GPIO[8] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[8] +set_location_assignment PIN_AE15 -to GPIO[9] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[9] +set_location_assignment PIN_AC19 -to GPIO[10] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[10] +set_location_assignment PIN_AF16 -to GPIO[11] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[11] +set_location_assignment PIN_AD19 -to GPIO[12] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[12] +set_location_assignment PIN_AF15 -to GPIO[13] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[13] +set_location_assignment PIN_AF24 -to GPIO[14] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[14] +set_location_assignment PIN_AE21 -to GPIO[15] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[15] +set_location_assignment PIN_AF25 -to GPIO[16] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[16] +set_location_assignment PIN_AC22 -to GPIO[17] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[17] +set_location_assignment PIN_AE22 -to GPIO[18] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[18] +set_location_assignment PIN_AF21 -to GPIO[19] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[19] +set_location_assignment PIN_AF22 -to GPIO[20] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[20] +set_location_assignment PIN_AD22 -to GPIO[21] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[21] +set_location_assignment PIN_AG25 -to GPIO[22] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[22] +set_location_assignment PIN_AD25 -to GPIO[23] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[23] +set_location_assignment PIN_AH25 -to GPIO[24] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[24] +set_location_assignment PIN_AE25 -to GPIO[25] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[25] +set_location_assignment PIN_AG22 -to GPIO[26] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[26] +set_location_assignment PIN_AE24 -to GPIO[27] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[27] +set_location_assignment PIN_AH22 -to GPIO[28] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[28] +set_location_assignment PIN_AF26 -to GPIO[29] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[29] +set_location_assignment PIN_AE20 -to GPIO[30] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[30] +set_location_assignment PIN_AG23 -to GPIO[31] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[31] +set_location_assignment PIN_AF20 -to GPIO[32] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[32] +set_location_assignment PIN_AH26 -to GPIO[33] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[33] +set_location_assignment PIN_AH23 -to GPIO[34] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[34] +set_location_assignment PIN_AG26 -to GPIO[35] +set_instance_assignment -name IO_STANDARD "3.3-V LVTTL" -to GPIO[35] + +#============================================================ +# End of pin assignments by Terasic System Builder +#============================================================ + + +set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0 +set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85 +set_global_assignment -name POWER_PRESET_COOLING_SOLUTION "23 MM HEAT SINK WITH 200 LFPM AIRFLOW" +set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)" +set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top +set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top +set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top +set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top +set_global_assignment -name VERILOG_FILE "E:/software/de2115/de2115/DE2_115_demonstrations/DE2_115_Default/V/LCD_TEST.v" +set_global_assignment -name VERILOG_FILE "E:/software/de2115/de2115/DE2_115_demonstrations/DE2_115_Default/V/LCD_Controller.v" +set_global_assignment -name VERILOG_FILE ../verilog/riscv_core_v3.v +set_global_assignment -name VERILOG_FILE ../de1/ram/ram128kB.v +set_global_assignment -name VERILOG_FILE ../de1/ram/ram16kB.v +set_global_assignment -name VERILOG_FILE ../de1/ram/ram8kb.v +set_global_assignment -name VERILOG_FILE ../de1/uart/uart_fifo.v +set_global_assignment -name VERILOG_FILE ../de1/uart/uart_ctrl.v +set_global_assignment -name VERILOG_FILE ../de1/uart/altera_uart.v +set_global_assignment -name VERILOG_FILE ../de1/regfile/regfile.v +set_global_assignment -name VERILOG_FILE ../de1/alu/suber.v +set_global_assignment -name VERILOG_FILE ../de1/alu/mult_s.v +set_global_assignment -name VERILOG_FILE ../de1/alu/mult.v +set_global_assignment -name VERILOG_FILE ../de1/alu/mulsu.v +set_global_assignment -name VERILOG_FILE ../de1/alu/div_s.v +set_global_assignment -name VERILOG_FILE ../de1/alu/div.v +set_global_assignment -name VERILOG_FILE ../de1/alu/adder.v +set_global_assignment -name VERILOG_FILE de2_riscv_v3.v +set_global_assignment -name SDC_FILE de2_riscv_v3.SDC \ No newline at end of file diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v3.sdc b/examples/hdl4se_riscv/de2/de2_riscv_v3.sdc new file mode 100644 index 0000000..52e78c1 --- /dev/null +++ b/examples/hdl4se_riscv/de2/de2_riscv_v3.sdc @@ -0,0 +1,86 @@ +#************************************************************** +# This .sdc file is created by Terasic Tool. +# Users are recommended to modify this file to match users logic. +#************************************************************** + +#************************************************************** +# Create Clock +#************************************************************** +create_clock -period 20 [get_ports CLOCK_50] +create_clock -period 20 [get_ports CLOCK2_50] +create_clock -period 20 [get_ports CLOCK3_50] + +#************************************************************** +# Create Generated Clock +#************************************************************** +derive_pll_clocks + + + +#************************************************************** +# Set Clock Latency +#************************************************************** + + + +#************************************************************** +# Set Clock Uncertainty +#************************************************************** +derive_clock_uncertainty + + + +#************************************************************** +# Set Input Delay +#************************************************************** + + + +#************************************************************** +# Set Output Delay +#************************************************************** + + + +#************************************************************** +# Set Clock Groups +#************************************************************** + + + +#************************************************************** +# Set False Path +#************************************************************** + + + +#************************************************************** +# Set Multicycle Path +#************************************************************** + + + +#************************************************************** +# Set Maximum Delay +#************************************************************** + + + +#************************************************************** +# Set Minimum Delay +#************************************************************** + + + +#************************************************************** +# Set Input Transition +#************************************************************** + + + +#************************************************************** +# Set Load +#************************************************************** + + + diff --git a/examples/hdl4se_riscv/de2/de2_riscv_v3.sof b/examples/hdl4se_riscv/de2/de2_riscv_v3.sof new file mode 100644 index 0000000000000000000000000000000000000000..c4ebebc0a36c40d9403baed173d6cf96bf319453 GIT binary patch literal 3541671 zcmeFav5zfTa^`oZd%8Jlw20nSqw$PhAVA1?4XDYUA-UoLq>x%-sa?rf2@A=cOR|T{ zSEHhR7hlSc|M5@c$6x&3 zPk#URe)7eSe_#I9e<^?Vx4-zs;(K`K@Y_cE&;IK__|t#(;~)RwpZ(;Y{ONysc=wd< zzx|uv{QNh+_}Q0-e|UIlF8}_$|M$24{@L;6Fb6lOJ#nT-h1F{MoO6{;&V$r+@wDzy9mr{fnRfjh*>_{+`Lmz=^jAOs^@R=w)1Uv%U;Xkw`wu@5`Q!P_>A()*mw)@^AO7^qpa1Kh{rWdQ{i84b z;!l3(uYU3ufAr^n`A2{B#n1lW$3Oe>$6x-zU;OwFe&_eD;(zZt!5{yXiTjq^_&6b7 z{_O95{+B=dliyiM_dD{{pa15s|KxX8h5q`NfAu@^x4-_`um19vUs}Ky|NWoMDCw?e z_~YMmdin>4|K$Js!;=g){NMlU53aQQ=sRltQ^cPSLf^FRn+3M zaLM6ESEqhtlVyXe#P1yDSPp-5P&>55=1yf0sEzie_-%MPPApu9xiV(ZiMJR?>sA@D zQ`+Gji$Y38w0HDyc>TkF{?50rfA~L}@v6PU5A1AF`_PLY9^Rwv6;1_n<|9&rD^;$Z z_l?w8swvn0N7lj>uA7VIb}_)IXE@cdIIg%(C*Mww#fN!w!qUTZCBZ2cJx){Xt#o##=xxSZ zf-Vb}#d4Xhq?-+}pC+Ce`moZea2cz;=xoxSS}>+r`5*L=;#IhqoJGpTfJd1st67Mp zg>^N{wYQR&`X-0{6lBcT1-!#FDRbcF#W)pxtupKTs>5+NGYa0z`#!vZkqE9E>afXe3A;J0;xbM z@DvsJ;3+nhJ*5I?Rp8}Wvt_bWU}ptB+?g-wp0fgZJ3QOfe|5G@nJ5)V1vUyyn=?C{ zn18OZ15;9@0;xbMkP4&%slfA8z<#uM1zDct*U<{-=mh8Df2c;L`IARy>a%Qsl_zIP zoN2}=Acy~b4DltgesTEXlFG?iE>;1lRi-zLWx;Ucd>-zufb7oroCwdmMtDxjX0P{A zAop+Yqh7|Q0;xbMu#*DQPCQAI3Y=Ae4z$O;&RdoWi-Y;u1`&Gn?69 z4ijD*gK)M7f>S+7>_RLMhE5V(tKfola-C=1iWTHvA!IiQgIh9&D%7lF=B?Euqz>zH z4N6E8riS9=t0I_Uc7hBO!yV_XkqhDVB(V#zyW`^ipYF@uTYO9S>h05gpG{oTQFw0@ zaUbu{-ug;NuT&rvNCi@X2UB2Pv_4q=O=8)c+3$qzM|Fc~t)MhQG3O?G1RES(TCz9N$u&=LC8NJ5vuw0fDkHcGvc&9W z6vEw-F;pRD9kLHL88PH>U2efjND~&SIQgmwrkI@|!^E&$9_660aZtl#M!}(;Bz7Sd z2ty|cu1$1u_0QWE@hhrX3=T!pO=V^jJT?ZVV;6?ZTQUnnSeI*1LVBP$xvCrmQ_Oz< zc?-WV)RV+6#3oXKR3H^d1yX@jAQeajQh`(;6-WhAfm9$BxQhZpQ0JyZ7k?*L|327# z_HU`!-SIhXKbleSa7)I}#1q>GtH_~faY6fFLOt$ExpLlCl@zS5l%YYf z^gwZ1UD&ciE|}c3isgdlE#)Lqfm9$BNCi@XR3H_2oC>^noMk`8s*jJc=&U&vNCi@X zRA8h60(pJsqqyMOL?>77gH@Fjtge)yL9z5eaeD0gU_ukFK#FD69w(aUqJUDUzuMXS*SBU*pY?OeOtwjKGF)5Q zy*S)F^Y%aG%^dNUZ_E^b_{EG$tlvJC8osvDCmfnB+;FLpViWsiNXnD2F5f^ME$GMQ z5fyx_rCox^aP9KkU~A=X^tL2Xc>FG}G6-MdGFG8i5tUIt@8&ww_hsKj-Otxp=*Dfo z(&lXX{+-H8V#3M43Uhe;<=gifFIBf-jR~(=UVl=Xn}TP|&TKGD3?q6|h*wjte8;$? z!vnmg%HOufSN70%ZTQq4Z|rgSEqnZtJ&Fq_>#+DvF4BQbl5HK^GfU7FMQyY{0J&;m z#btq|x0T^djk4Ijh;-U=Ed`&_6@uu=u~k>BaYR|pt6lF^H7l-)97~WfRi;VF6FoUr zds~uJ>WGN%b$-5!-iu?`VA+*zO{VL^9gnz|jKX}+97W&c9obtm`A!bp{2vhdfVuCU zIw#&qyVJ$1uPKU4Q|zO8baKf|wk%wfV?S0f@j4AxJ2<%DZSf=H$33c*1J%4PCbZTipa}QwmmlRM?Rc4C}39He6-Dsw2l#;L#|ckEYTr zG!;k%ZYZ$4_uV+1hZn7YP+h!SSuLcx8tLRZ)BdfMylP>!LN=qYq;pH|YBpXvPsIDH zwH{`{DXhyiC?P#ioP1RTQ_N0~VPY83n?meYwxq)Y2t&Qn;(}`vom`hQZ-e%mjpC5= z)?W68GS@h0L2$UmG@0|54aEZ&*@{Hyux$0WDHH@KG;L;g9+Ehy>N=9Y|P=( zg-)&qoVOEwDv&=fJKMEAo-I=*N(G*!0z%jBj)P*k-98wbTb*3z*$0!GEs%Dy z#}4~oYAj>+Z%slma$m~Opjeu)3#vG+E^JXnFx9W1IZSx@dskShsP?9W`jsu|7INW9 zz0%@>YZV`uwUg^S^Y*0`6zgC{v4>wWhALi}b;!J(s@Im9VvVs@QU6sqLTt>Al!l36 znMle((U^l8>b)tUh0Cp@MxM4*AQeajQh^IsV1DzzoGN8tDLRasH^@<#-|9!vH+e_) z)=ZubslZcGAn&)kT+Na9q`cocmx%8%FA5`HNHVI^X%sQMBVQ98bx$2WT`qzb&2Wh) zdjuOC-TiiQ%`-~L*x31qD3!JV!-~H*x*F;CLLwoDUpDw9D zDv%1K0;xbMkP6&Rfn49-PA}hk@=xz`_NlCI1v%JiL;XlTGCQ?LiT#XxvoH90Vs%^BY{Q3$Z{LTo1)k3c{rcCSxbpdFHKHL8}&4 zd%8Qo^VTF3QoB-y2F22Zsi8Rest6`Gtzsd_FflCq$;v@t!EZv?bN4=f8Eh?C_RLMhE5V(o9N`~pSSt> z+X>N^dBXEpW2`MG4rQiY=Q;|e>t{+@#d8073yUz+lf*8>CQ^Y^AQeajQh`(;6-WhA zfm9$BNCi@XR3H_&ivmJW=cYs#eSIwf?*d1S98S@UoX^7qynixDv%1K0;xbM@c0$TzXNr)Yj`|crc9Iyqym?u z0N-#XQh`7L;e6+&L_o1V-O1J3zm>NeQn?R?!q@lbVvX0=!aq_@`@2noDP||gFflCk zC;-Z-vR9J4}ea%oCo+8e?riaVRrw#U+?lXO^^z z<$~rdfJ_v`KO@Tw7zkINUt5UhK_0 z{+DkKQ{_J|T8t9sw~wWUudVb6hh_^mTxz7)r2a>A&BHI4I$F?=%_A!KSd(K3BEz-I zbAzpwztP*0MB(wfyviVaiOX1pUPV+!{k)s&Oy8G%7j-{hW1$HBvoFNp~! z|0>Mk@t1GkYrIt5f;A?*W_kTdZEgylF*~!tFfokiO(9-Qxy@b@9^f@q^7ll(vWLEF z!>9ImV~+!W!h%2SQCv7#hsAetkq&HfWr*wrNdU9;l6>A((mh)=YdsWSft0Kn|WK5N5Qu0Jkj@90lB$YZM;(MK+@1pnO z*fm&oWm}W!`f$f1?j@rz-!n(iH+e_))=a*W!~ABw6Wgt6^wtrV5Ren^bQMk)ufC=z zF3rn!G>=X$naTWh60gd#wmG@3&MZMQNBo7i#gELaxNIs54HNE7ykA}Fxdm&J6bD<~ zoE$d=Pgo7Uq3bqatD9hLO2KN63OiDQVZALER>|Ubi6xN=qynixDv%1K0;xc(fMAN3 zE2||&%yP}r$#tgvTPtkULRmA>u1$1uW!R_$@3iB7JZw^bztt1D$_P%J%AoK_dM{4_=|xoH(k z?%$$9A{9slQh`(;6-WhAfm9$Bc!mn(&&$qs(T``#l!;P-XQ_bDb$#cfxZqj^`zf7V z=h+7nE6CsR;q#mZVQ@>vP=%Ux%;#^d9wBvDmupZ$nlLpKCtnr86tfd#m>8B1#3~1c zje{zTTyUr-iCu^V!q7>AYZV`uwUg^S^Y*0`R5aaGW=64xUowU&UYT{syq&C2A@!!< z9sXNl{a4|{X_bQRKX2>3l_Wy8uzie)R3H^d1yX@WsKET@e>s)Qz*2M=H*b)mFu&E0 zqHpq!?5&wR9a4d(q(I(pce$D)?@4*TbuQ7ox#UK<3cfXxn^Ndn5#yeG~ji0zOf}4ohEmR11OUBT|kbN++3PV_zYfwUZ zpg8%e2&R~QzFALr-EsfcB8BjJlGue$Xgk^8p?MWmu}Q!#T8oLtQnOW|@|@BqT#dMK6^2`8#x zGInyEXWohxv}$3s7i>lx{E{(Lp=KR3Z%slWwJT+4P%KTD8j6#ziePfnDi(qa6T`Bf ztQ-_J4yvg3ri2zQw~i|LU5$Kh{G#x3U^TBsaZg;ZQLxXAKO~0s_K=Nb?o=QZNCi@X z4h7~#Ylr8VTx4J#9mYL!oiHy^ht=L99@$$fciK~dC#68%Z+E$zBkxI1<$fzDjZmu{ zB7c7e*DBZ>>ExQHxWd=i`G_kcxC%mJb~6g$Zpj#`5VQI^#RU`7#AF_VS6G*8P(qrp zP{qktMKHze1Q{lVyX}J!D)wk3iCu^V!cecYxZv7ECs+Tx&ClOXh`!7dp2r$vZ9#Ex z=j~B2#q9T=x9|%?JxT0BY$6p%1yX@jAQeajQh`(;6-WhAfm9$BNCi@XyC@(8b#6*@ z@pp3d?}Jr#mb(gacYIFUN)|3qxFus~V#xk2S%o32%QYw=Jy4u{RRmMaenI z%@U?cgz>X}F2UchNg|xRw)}Zv3W!VA8T?fL1eggd2X<^@;7>0k|;cWmsc5tFL4>G(5r~bsGoOp zo$33s@1pMKYbTd>B2*DS9;sm)EnGiGNt z7$$}hy(z@2DYyAd6&~OlzOskDYs07Zcw>(Pf5L)4>``1eS%<}Ua*+;fl5FeP zo>_vfC~D(}H(FS6SzzgHWq4DgEVeHqowi&{!KZYEAbN6a)fHH2WTBkm=mFyAvr(KmTV_SQ_k zlf(RGy%XE5Y4p|+mk^K>?{pPT7q7miC@#&*b~KMpE}6;vbrP@2vbH(7uFfn$Ge`V| zx5balthj6{3k?(QO}t-S>bV7LlN1MA-JBdZ1y5KFzM<Ta z7govQcZnsD3Zw$5Kq`<5qynixtbkyOmn*9!M$B@}(#dtE{aY(+)k0Y_&uc-Ua<^m* zO~gy*iCBNN)?_sY!6~fEH7FrHP@H^K1XIjTkYQpN(VIf-SGJ_X0|-OC(&B<^6P;W+ zZ>vfQR#(cN*NjyOAi#M$G#6HG~o)QSXS+EqKQtf zoVQga1*2_ zcF~V#%an;yfoG|J&~<(1qqyK&1^X$TT<6&b6D!Ey@!|8F24QeZ#!!Wtb8Yn6;DZJoEOY z6;w3cRAxr8hhH*=Dqfj&$h@7bP$BiE;2r*3V*OX)#A%g+?mutqy_F0k*)3EEcT2|5#E^Y3vI;|3mupZ$dZ0M@stBf-eZE;wc-?XT)*^-QdXm_MSRf3Y zB)B%w$<;q^U*x<+^kts#Jk}U%3yOm~Z;yg0X1}0$OQE}g-vNA4;MY!%!kbaVubDWb zz;8x=Kn(5e&VA+j_Ri8>3!JV!-~H*x*F;CLLwoDUpDw9DDv%1K0;xbMkP6&Rfitgf zwOQS~Yti((i-X)Hs3&IXak{I|<6qy}-lH`k2tYIOZc}l=wTVuy{(Z2xGHDirgS+E% z+Ey~7;Ng~xp^4nTJt!g-jhl*@gW%+9u2>3}>vA|Hlv|O&S_PBQ&8CEH3bJ#)VKU3x z4a@iygPcc3V&@93p6)U7x$%p_%Z_Ta!^d-Z<(=H)+{85<1^e9iLtWruPgxAI(oNZw;2f?YHBz7T| z;$sh;J>I%-qKR7+WLyfLui+w0e*Shsq(u&%#~Nd8L2+<3KMJOpogl-+u+*a*6gCc8 z5FF}BVwcm5o$G)nkqSHx1>|v*nN_9&sX!`_3Zw$5Kq`<5qynixD)7V=5c=!fl<1P8 zlj{!qx7)3ku7b)Q&89L77brFcwq?68WdD}T!VuQw8kCS8C{DgAf+=R7_n#)b9&8_s z4;|ewv zGY7%R)m*W}*Q@(>0!<A z*&YZ^^(3(iv58dR@hKpWujH&a6*%O)%}MZx6;NvTS35VBFcY42d}ih@uEYGY`BAV= zy0-jzapijL%-h9Py&AKAV~_Yl1ZGrw1SMms;cL@p!r_Kab8l|Jihm1I|0B8<9&6m< z){o62D)?BFV+kU|u-=v=N*2FMEKP}5p;r-=Q9rM>wBkC`_hsKj-6N1e7~HFXp^oq0 zsk|g6V*RU7XEp1$?=|Xj3)Utt4zE9{%}v22X6FhTCWaBcDa4y;GI4fE$8+$SD*1aN zU)e+7wc%5Hys^iDKViWi_9!l#ti$3vxkv{#Nw#%t&n!V#6t(fg8!fE3EU@&pGQ6o# z7TXt*PFt>};8VIn5Is4z>WVdvD9d@Z>%FRG#Z{4G2{NY2G%0zaC&y}UOOi?*5%Imw z&v(&#aqJo_yRxmxbbYwv5%-c&nD3dR=$pJFdut}&$$^{y1417#_uW(H#5-wsx_I?9 zMR940eKe0wE}6-eg^P0R#|ow&9Ax_matu}Qw)l~GC|(=(&@kcN#QW8y9ygZF5C61D zii53gPL7*`C#(kF&~+QI)lFF}>V|83X43*O!+PtO4VJ#EQR+;j0;xbMkP4&%slano zKxjH%uB;YPUHWu#ooWBpN?x_FTCJH;=*cY^Llg1Rc_P+dtuwTL=&A{4>xarIMKuWJ*M#7 zEg3@-`E!SZB2t+qO~uSXaB?+QEQQN;!Q**Co@d+Hbt;ewqynixDv%1K0?$E#hxq~6 zEtSomm)%mZySpFn9-5Jugto=a2@SeSv9cBNDmYz zUlqX=vlC>P81A+YMx-#*lf*8>0%7PR!L^A_uKs!ZB7Q|Ri^0LaLi1aL;Ng~xp@}Cp zZ;wL9t{jTxg61vd>;`@Z@I`@NJ3R_-MiIYe;*0{n8TkP*w6{C=HQ&VU9KU1(~{qs=!((^wx$%p_%YoIr8pS;^aYn&DH~x?q+S@}mmbp`b zR3H^d1v(U%zuD^WJd=wI%%j7&N3IiCJw7Bx_V$o{W$skq>#K zp_p@%J%SC6E-l%t=;WHGxRSB4^AT4@a215c>}C|g-I6g>A!Z%24>lPwnND6pDAe-%l+pqEW%Jv61&XdP$W`;N2Gu}qFS@mR3H^d1yX@jAQeaj zQh`(;6-Wi1t^z`9otqL}Ds*!7?}Jr#mb(gacYIF!I~FcbxFus~V#xk2S%o32%QYw= zJy4u{RRmMaei8d%lvoo;F|XR=L=*L{h4{tAo+`(yB%7ZyTDP8c(zQLC>2NrQh`+9ktiV4S>O36 zF1R+)$(8%JRV4+hD`jX0`n`hqs)O_ZMzkFk+_`@$|RAT-1vDEOjl|JFnY~hAWjTD>I|A?-6_yto( z3;MBnL&Gx|4!v4G2!H2g*iO_^6h(#m#SN^#)Q`_uRp2HO~Er}XEqonh7r9f#H%T{ z{gf3hhSyZd-xK-D9{R2gpW5S%Jr4W{3;wW2ap7bg7T?K5I^tGx<&q^PBZfY`3P- zTSr_%Ku)~VRXAO|`kJD+G%wrHJUY2#CiB-xyeiAu=H$9Mvjoi?@fY3}KQgo8vZ*XI zOt?4kes!tm7OYKD9Bg%Sa@-U=VKw-MuG@gEZi2Nb1*<(O>_`cQ^|oACC5zvs8M+#U zA(0APiUN8mg=8J6Kq`<5JPQScF5~6OYKhS$NGI2s_HV7^RSRX!JTH-ip4^f#G!ZYI zCu058T9efr1gEer*Pw*-KymU_5lk^VL57K8L~jbQU)hol4}bQysauJ zSY0VYgJS7{;^fZ`!Q`e@EEhCyDJPK%qynixDv$~s4*CBg&o&E=XUmj{Qi12Ez`V|W zevN0xk5>UH-yc5S9NOVMH6yg`n_{8m4T zzR5eXw`THmNClpf0(rmP>IqHy1&bi%AjeJdX6uD1t zHgAPwG{YsH>=A6tb@$uJHP0v|BQGD}i5pv~jF={3b_*54{j^wG7_tvWQeg<|at%sI z4-_X~6~Pp<6J(eemJLS6eh2VHfnPg43U5Xczh>f$0>2sg0Wq|N^{ZgLC8e?riaqxEs1yjs^LHl4Gl@=G8s)ET_?^=jo zT}yyq)IIiZrOIwb97;VC2PG{BHK-ZK?BAL`Lgq!MiG%BPxdz3n3Da6}@--Js zF*`wqiD9WnIVfx#RAJU2efj zND~&SIQgmwrkI@|!^Cj6eK11B9*rci3$Z{L>XjB3T$||R>Yumy`P&K6mwCeTSYxa$ zC=TwtJqo6n{r>Y7eqpF5iCu_29A2Hq98!T)AQeajQh`(;6-WhAfm9$BNCi@XR3H_& zjRJym=cYs#Zzos(KG=QsZ>iYb@i}cPnNjd?OUBT|ko{Y-3PV_zYfwUZpg8%e2&S0* zV)nsm0x3PL+T%nM^{$2Z#l@Z~$Ezev=!vss!*X**G0z(Ew2qkF;)K|xo{58!mV*{@ z-rl%^Ey1u0gR8k>iLV!GCsKh_AQeajQh`(;6}TV;Skl}9`FEi1AlltRj&}>os8k>o zNCi@Xr>lU_TIZ%jmkOO+ci6wxWs6EFB=^B?>=&L?L75g52YQN$f%_5Qa_?T$||Ry2HHPO4Td|2mcBoyFnP-k}))q`?m*0q@r?@{T+J0r z;c{K@NTdR(Kq`<5qynixDo|BGvDViV#Rb=7?Q&8YSWO2$&d*H-$3L$ie&E;UkYQvV~m<`HS!Vzz!iHlL{AV@-}Fh#c20&keR# z1&!X8Bnpq;gU~DXZpVEyQq5vG6;j)K88BJf2Z=2n27bSLY>vD z-@ezV%Pm-&yg0o6q&7DNmzbT|V3-(2^rjH6rrhQ;Rd|5cRLS2H`N|&pt_`2sWE~dY$wfM_NwTeDdu9o`qNt4@-e_UPWr3x)mElc|ve>?eblP$)1)tIt zg6PSyRadNWL|M+OUGG&jE3S$hOOP>Drb)>YJvml;Tar}jh=}iXe!h#|i(}Vd*_CZg zrt8BUkGPkN!hFvhMc?Ed*;_ODP7d>%^-gTJrqNqRTtYxjywg=UUA+35qPR3K+tEBa zxnw5u*Gar8%i8AT`h$bKJ+lPO9Pt<47C$nx;}TQY_w;-&LMtiM`ovYLb76xQV$l#m`MPQEIF zDP||gFfokiO(FIxThieHgrQz(aly5TPOd%Xtu~9nA=bf+f`?l&h9+{}9u$#^#!bb{ zL2z<4S1g6gb;0AYLmqnrS$`^!3Zw!Tr9l3_$g^FJ|t} zA0Ji0S!^m`3dl)?)9CIvDE8H+1Sgv41?6thlsteexC3Zw$}Q$Pss+`DRKVwb6t>pc5lVg;4G#Z6^q#KA8aLltV) zF`r|!LWR_uf_M1uU8aV@?W-b~+_Z{?Aj8CP$Nk%CZwj$r*^&+qAPn_Niwmw*d|=j2 zuJg>>msU`$gBishe#scBcxBch^L8=`h19N;p+T`UQU6sqLcE(+vD|;&)_W^Sglujd z7!s*KDv%1K0*_RI`OW`ws+WPK=rC^HAV*<-s~<(*^tGkH3s0#8YSyx;C}HAmi) z@_y@FA~q{73L{@gGOE*Q6fwLbUlSd5PaQrD7dhEaBh7G$Cwl}NbKU)Ra?LYJ$r$fc zoi;4v$_Q>EX17ow+$|YH6GQgF$SMqBU9Le1>4DmgW$9sIk8-h&)}mMM1`=aF(v!*cUl(5owtxJa=_cEIm-1T+NSyDP||gFflCk zCjYo|xy%_!p6T+>nDHzPkFhW2*nzIY8@6z0{)keXx& ztC3Elh~XXin&_x|>hMXIR3H^d1yX@jAQeajE=Pe}-|lfOraed^j;FG|6&mc^l!&xg zo$utz{o9plHxGmpu9TrcvGhQ3Dmz!JB!X#mX0zR84ijD*gK)M7f>S+7>_RLMhE5V( zt6(yAa-C=1iWTHvq4})=2ft(tRj66V{M^AL6jHlVh6csbgsGu8`KkyeH?3kJ$S^V7 zao$#YQ;7Y_mUMXR4n8-2QFuA9npdN^CnnA)*yqL{5<`1?$i^~vDv%1K0;xcU0`sD^ z!}CloGBA%0;~u$An3t%-YHtyb?5&kM?Ww?%QXucQyIjtZ_oSzCzZH~5DCXQ`k6?qN zOG`E@I=SX4u4HWNe8iOzTm_*qyBURWw`2@eh*^j1gH1*Zd0dxUuoBXQg(^TtSu-GWu{%{ zItr%iXG&Vda{qY?i!jua#4f}pQh`(;6-WhAfm9$BNCi@XR3H^d1yX@jAQiZa0zy#d zrbHKiCs+SISY>Cqs~~sB=d{0L;R1zQGKMCG?B9}A7{a<-gA&pM#mQGiFvaW_v=7!% zX>p;cChA=a@r#Q+RgQfP%ZBAWe(q3bH>=>W)H88V(sIy3&f6PTuq7CFVQ@89ERETK zOCl9W1yX@jAQeajQh`UUK>i)5vt7R9*)nCKR3H^d1yX@WqJU6m=cYtgOPyS~e_K^k zu)0!)2F20?#mV1o5=?Gd#X^u_Vp#SIm4m{@K?{OIJxT0BED(lH5?q_;_R*^EDQ_aoex7Ih($Jr}C1RaPqIh93Fr9_PxeS)h$?K!fTe-pVa22;2E)Hc7U1 zY|kt~R}{7J!y7HExGb>rwlch_Q5M@5kxpB#rQlP#LJ&PUw(5#Cjws7{wd=jAX2n&J zV+k^*$}}l?q9?~{Z%dL&9TD-p&d+zzdvWXAn-buo8;oy4oMtZl>& z4y!Xu5QZvvTl~m86t7KXp<%+kiTA5ZJ-1+OlHy>io0H?F;0de2H+0d{qQf%ubMDVi?hzLhM(zq{9OUL%q`C zf@>3pLIC1^*^GxpE(@s-$3br3?*< zr3Z@BW8Vi8ns5bDEc_W_;R!BXh`BaW8K1(rF-)8-JI$+~6|8ppacd%Gw@@M6Eg3@- zt7-&ymrJpfIyh)SaB#UogJS7{;#8_xB@s-kGs{&_ECd-QhK)fu+XKO=o+Nf5HjxUX z0;xbMkP4&%sX!`_3Op$VSX*B_^5DAvJ@Vh_J$3{|``>yUXnnS?@WSIW?!SemH+DjXr+ zO{-Y$KX2>3l_Wwow+;-6R3H^d1yX@Ws=)l_e>v65z*2M=H*b)mFu&E0qHpq!?5&wR z9a4d(q(I(pce$D)?@3SMe!F)Q^XAen7jGAmkuN0Kr9y{fa?b5`YUFF8qsSe4lheM2 zjlqtCVqsp`IjmnWZ_z9%}4w3nEV!+67IEi}5L( zrR&8oadr{r#bzce=2=6Y))BK?oDl1##n8kPo3}@yV^)So9&9nze#PL+tw?c!Rn-Y;0tMi>)^LI>2Y}rL|V{`v@ zLZoHiiRZ3PilqmNldrj8irFt{AFQL&;zCnZFd6Gz3-ODKJyni<4a(LI zFrztqRO*@R#A<$U974@9X8+do5z;O?O&k8-R0Wq|<2ka|T zrvj-!Dv%2FC@_Ds)#G{|9~qcNhjEWwCwMERVU0M%BYShw<*8KQ=_-)-+g+~h$a~UL zx!(#(BUHTGR9tYag1wPWu6c?pe2txtxH5vPAT(w-qY&yUl0$%rA3>v9WL zLYlBp#mQGiFvaWy8779i?Sm02_Gl!DU5Ew3P_MMO;MzndSO2`t&)-gnzRVMz#~Nd8 zL2+>B?NKnr?DwCy@C!pdN$f&wA{9slQh`(;6-WhAfm9$BNCi@XR3H^d1yX^#C?EuN zZc23VcXIXbgH?8xy9#o5d`{a+7A{b@C1Yq}$o?%^g(0lVH7FrHP@H^K1XIj@LHl4G zl@=G8YNFn?5Wl$CQ{~v#uxwb~YdShD{RT?6u|3i^I(`Z-a2H;v2KZA0jZL67IK;rG~Gq^a+P%3pZS9 zq}ZhXM|91@FPJ)7(2va{D)?BFV+kU|waasZt(Cvg+mb}#@w>drAbg3-ScP6iR7U;0 zo9j&9mwgv?KVM^^8@K&Ro3rWrcPcN52`B$5%;E8uZ{KUYRNaC#CcI{O{Yh%MLc)DaQi>->Bdy%)!>!LlpcnoQS+J05W_8HM?tIf}l?JF>TC z@|_&!H|w3)ZcU@Nj<|$?oOq|JaJqQ)HAQi0UbdrobaKf|=C6}@RhG5Q$#r#R37R?L zFT5>&WM;)>Q(0)3aBt%M>Qc`wSev9c*y`rwxG8wTYVZwRw*gz-1Zz_YR(n*~krE8+ zZMm>Y7Qag~bTtY?A{9slQh`(;6-Wi1qXI(H@p5Ig#OTtelj}_Tw^s71g|cRzmqi73!1l-lSlPi_J6iW{j zr^mhzCN$v+q*zw%aiWP%uAH}3B?YT1WoS?=Jy4uh7q};3lc(zRUNHpCeHbYZ^ zhgCpG-?=H#td#wfPOkIpgNYSX_GmVhnGpxSWDHfPS;u_-)(RC;ZwlVwzjv7$3b(I{ zU~{D!yWg*s=X=1eq~EKJb*CND=jX#R`G#ZJGss?Z(mwLu?}Vwd-x?|sN$7b zhs@i_BotD+QicY_(nS4N;Rx|=TE%kzd0X$TBoVT?bzn%O0;xbMkP19f1?D&Z%c))l zmZHPBd4n8<`K^8weUo=&Z_VWCkP18{1@eBo%heotPkIvf+r68(xSwN;d?Cp$bJQW3 zoO8RK8u^;&C~}A1Z_TNGq`3TNrsjeW71$t8&3CSrCA6~f)L7@Bxu^Y$oo?8>27kkT+QJlMP? z`Oe^X0ACdNwbP^UW)$&jCeA4En~@(7Lwmb(U%Un{3c0?$c{xVDCT`|(jwk0_o=OE$ zfm9$BNCi@XRN!(I$o1_W*Jj#-B;t4y>sy;=LW49D?=}?|T$||Rn!jUG_~MYSuCH)+7{CyHbV*#nObSp*Z=f2qrhJVj;*dG4S5HZ68Y&)!vj)zp^D=mHe(o zJ~w_*csa0|SEINmCeA3>=f)orLwkG3#xi#*kP4&%sX&JU^P;uG^Gq%>Fpm!79=T4K zm#D*PZxN5|t(80Nslby`An&)kT+WgAq^EMf6_iG()eg~igQH7JHY+;0<|(cg(c1Zl zD2&;BhHmpz(IWo8sSHU_q3yD((`mdwHs*5w+MkRB*bzAAz# zW}o+;CcGYOAM7f(P(!`a;(}`vom@F@t4a!1SIW?!SbCs1J?eSe(`EXk0;xbMkP4&% zsX!`lu?pnhfjZlzIi4+3CQ1cTfm9$Bcq9r4b-EiaiecW-}3=1YVtzx;9{aY(VsHa|Oaly5TPOhA{RV4+hD`jXCs>9Y(6^Cl;g90E}6+TNlu1qOS>0`n`hpBsAJ}c zzkFk+_`@$|RAT-1vDEOjl|JFnY~hAWjTD>I|A?-6_yto(3;MBnL&Gx|4!v4G2!H2 zg*iO_^6h(#m#SN^#)Q`_uRp2HO~Er}XEqonh7r9f#H%S+b~=`Hc!1Yb$=?(C${zZz z4WHWMjXe(h2@C$PM{(g~9TwloMLMuavaMr#W(m5YsEr@qXko==fu*;V;Z2RQ*uIE# z+Hx%gpVAeA=*h8FSFCYFSkTF%JNy!sEIaYgHl2q!5i0^fNzKh%$$7xR;E=e9s(3-{c+HTQm7i4&3}75c+_*@18m*-buUD#jCF=ic3@M zqj_|4$xQanL0ZeilPj;&aJ4gYZNKog_>rAfOqLys5Qn1>i!j6<+SZ~WRR>|Ubi6xN=qynixDv%1K0;xc(fMAN3E2||& z%yP}r$#tgvTPtkU!fJ(VMxk=IWDHHjOXrDLf3?d{qQf%ubMD zVi?hzLhM(zq{9OUL%q`Cf@>3}bQK3G*r!RksG z8Wc+p6sO0&4<3Zz(8?Qx=sPOgWUw?E9EI~)$mHC$cTqKaUuUqN%2@LGNlpd1u7 z4q6Z#>Pcc3VxJxK*>#)^rvj-!Dv%1K0;xbMkP2L!0{Qc@vt5$o*)nCKRNz@EAavci zDbW;&{gh6w^X!9(6;$?UHkFwX2ft(tRj66VeE!x76;f{s-r>J@nHmbWuZm!D(<&B% z3=_j0_ra>YDa3wdOFBG&Fw`q8F1S|lfmu7buFqTh^(>V-w*MPaDPq=;r!iidDb~S^ zLabXdhALi}b;!J(tR5kCSeI*1LYk=mDjXp`X21Wut@oy+kFVGAvc)Tr3Zw$5Kq~ME z6`0@rFQ;-DSc(qg=8bw3x|Ua?k{j>H-UgPP)TzMbDUkQuU9QQLN`G5dV8p72^WRF#9m#z6~$Lp@3CLM#x5P7++3=;Z33 zw=Z(uBKk5(Ct(NXNs-a7K9ODd2GqynixDv%1K0=H8j*SELR z%lDrA)BBu#66;%=XM!9w6Yn+^7hId@;7FMrLGveTvjG+oO>zH|K z5(=qZDMN!|X~NV{oP1RTlbcqt5M-DbcyHadkEM!gZ%U|N*^;hGepe%(8^0*L99Ye( zQQQ*~XB7C1{sUrYZx7g4rcMP?fm9$B=uu!^wD!23$43UH(P7*p*9r3yby)2!;*q_z za;H5Ncv1@F{dSkjIr5(LRPMKe(g?NMA=++mbZN1t)Llt6HU#GZWVw#xDL+}dgat%sI6BepC`Kkz}n0=n~6JE>4lyXqmIH+MVqu@|a z61xyfy4XW!kGC$IXyO(H8JEIWx^`pp^S2WsE%Suuu1<=j2a1!c`B5;%>;xGmhNT|m zps;b!g5Xe361xz4N_TpaLn@F8qynixDv%1K0;xbMkP4&%sX!`_3Zw%43J9*9n-X2D zom}(&?JGFTE{Ypl*;(!?C}y{Cfx=IVrG+8;wLN`F*`wqiQysl z!7R5>L%q`Cf@>3N*Njy zOAi#M$G#6HG~o)QSXS+EqKQtfoVQga1*A_#^EI$%2F1S|lnOQrzWG31qIT^04v0hYGT{B1g zdrAbg3-ScP6iR7U;0o9j&9mwgv?KVM^^8@K&Ro3rWrcPcN52`B$5 z%;E8uZ{KUYRNaC#CcI{O{Yh>4b)vaQK=X$Ig$M+SSRtSENh#S>*~zS)y|2_8+cp%$P9{cQ(0)3aBt%M>Qc`wSev9c*y`rw zxG8wTYVZwRw*gz-R5aH*J5qvSy)743$>Mj3C6NlG0;xbMkP4&%sX(lNV2YP3t0hLv za?R4ob*BAWD{R$5Su@XTL7{TDWDHHjOXrDLf3?d{qQf%ubMD zVi?hzLhM(zq{9OUL%q`Cf@>3u$IxmeqC%C#qm`tCQ z8wXWXds9LSms`g|Eb1436^*f0 zQU6sqLTvpCn!|+G-R5n*H-$L9UdxYN@JgftsX!`_3Oqsu<~RS#sayt@qQkg(qaFp` zg&z_ldwa;fGIuI)b_Mc&yNl!_?@4*TbuJO#V_p8r_(56ct^e_I_jP}d>Srt zvY$qpS?v({`#YzA*3ii{&nShivGWmE)~e-XkJ-&ExVR-_Xu{ViE?An3v%|?TtjjfM zFZapOS0zqcf-K8qk2~&z5h)CD3w~wGw%Z(jD-!ZGaf^bCOW~_m`XZhN1UC`0Tc{B3 zmW-i^CpK@7LdUKgiUlbR6T>o*l!Kx%2Q3H=^(3(ivAcuc0en&5*G`YZn^DBCxu&DQ zZ$^GV4DIdCeeoK+D9o#oAvMVmRwJE85yLz3HPKP`)ZvpZsX!`_3Zw$5Kq`<5T#f>{ zzTM+mOnZ<-98YC^D>T@-DG_P0I^W4Pf5)W6mR%G#wz9LlN*o=;>=q$J`DwAV@Wl4P zDsm`NT+lw4P>*}z6wB(ObD|0+V<*>n=B-#ks}@K*+2geRXkUcqZpj#`P_vGiw^omk zI;_hzC?QRl8j6#zieQS_2{KF!yti)K$5Mrn3l8-pu?w-ggU^j$6kZOj=G7?fiEBCv z_POzg#L(Uzva!sa3Zw$5Kq}Coz`SVf@H~@?49ug$xJRxN<|XQ|+FQgUdu!!Rdn)jx z6v+GSE|+uUJ?W|3Zv~|hYPCbO-QeiblD&~mu6c^9MFe{?%SKD3GJ>lhi@)OoyFnP- zk}*^vX7zPyNLghy<75x9M_iX%uoBXQg(^0)aQn9<^bK2iA zqu}9|jG>7k`?q8jhOjQzpoH{5aq?9WOfmbV?1R~!U`M6Jg{GRQcP+#(F7{M8_BAXU zmhm<|!A->M7Ak}<^-LU;v>ddM^Y+FSYzc;47+lR2OMJahJCO>c0;xbMkP4&%slek` zApZ{3*{4D-@X4)!=U|OBo zOiy!|@Y)!Jvpo=;>Pcc3Vwcy?>3I&Rz++KhzVAPla5W_5NySvxI3j z`dL4h%w(G+C&RVn&x^y&GjA7HGe`X88#BcpA~2&8>$i`khOe#k35RA2H(Y9@*rfhP zbj`yrm^xa}kIf@0_*j!;2_nO_%X5RRmA}#3l0@P0yS&OEe2L3ggrCI5 zeHV27-GVhHyk>d*No{Tlo-sSK!7wq5 z=uIJBO}Wiys_+1>sgl1Z@|8XGT^l~N#~XVb_!AcVVUOa%$vP~)lZ$j9plq3O=PP1ksaYtFBn%h_aklyWXp6R$LW1mLOxQ zOp}r)dUCAxwj`<45fR_({CpR^7ssx_vMbw~OxK4y9&s-jh54R2ioVG^vbSdPogC&j z>z&wcO{2GtxP*Y5c&Dpyx_I?9MR93fwxfA;a>-2QuakIHmbJ~vb#-P5nmOVxye)oY zX2oSwS!kGWZ{q#xQqL_|o1{3{>gMFQDR{za@C{wJ0bAV!Yf}nVdsNtw5)A8Yxv)wW zze_W8H3~x_6-WhAfm9$BNClpw0z%XAa%Hu|=+dW?>rDH%R`RNavSyx_NJ39;$rzf5 zm(CNh{%WnsY7T-^SeI*1LVBP$`Kkz}n4KWQ#4w^ah1jobNrwjzhI*yN1=l7zxpLlC zl@zS5l%YYf^gwa)XNO>N(<+t=nzxjbNCi@XR3H^d1rCS&f01XK1;?{x%0#Ka^HX46 zXFtEjv*X9BfRyhKA8!v?`SVl2=B?p0)^|RN3;s=Xa^*f)RY}3>N*NjyOAi#M$G#6H zG~o)QSXS+EqKQtfoVQga1*0Bh@uNB+F*Y?tVGwoI8Q6?m2k2wit>N;Cyx zKc$oFJo{i`1(iLTO=V`p!7mv@6>8QopTD(2h18pZclhsJriQ}pt0I`(w2Flw!^CjM zeXwe83b9|=k`50b4E0Kj3$9gsVAf8q^UT|qR#2>i8O0uc$r!45W!53{b}|Wt)UK4F zL9sMZ|5Z3byqi|B+<)HIdn-wVY;GMG5~)BckP4&%k5qyA&Hr+$mw~0|FmB!;M`3=e zA4T8f9obtmc{-#5Pf3Bi-|liXN8Xd3#Qk>fCNA#h7$aXuvdbKGNG9jpZl^}RCOV4T zp*K10YuFgzQx5H6t7j1O>BjY;3i^r3l+kbdL|BTZWMAZ z#E^Y3vI#?2mupZ$dZ0M@stBf-ogl-+u;`>56gCc85FF}BVwYK(L+qi({EqNObib;Z%Mv0_#MC(1%B=H zD7+a({F;d~3jAi|2gK0c?%Wrz!HYt!Z*N|Xk*|rHxt!z4IhUtWfm9$BNCi@XR3H_& zTm^D{yT`Se_8^Hkp33@GXt2KXQCx6sqLXX>j!EH*cdAYwIL&=9L|XQpcphtvwFSk& z*IY2g>=(2T)=_D3p{XjEVfC(s_{GJZD#yNtY41sYJPAW zLd`N}|JL*o(k?np927YsgW}bMX{|Wa4Dg`VU_9yWY-o;)0EWeQx|AF|@abY%Fu90;xbMkP37tz)JL@ zAOow>Vca9v3EoO+SR)Sc$ljcEc`6lnx(ej|c9&~A@}Bfm?ze){2o>)(6&GBqU~i<8 zYo6i?Ut{MZu8iO+2#wjzD1^HuW2i#RI%FSgGGfT%y4-@5kR~itaq?9WOffq_hKb>B z`(T8MJsL@37h-`h)GIA6xHi$r)jx0Z^S2YCFY|=wvBp?iP#oNOdlXDD`~BxF{K8OA z61xzaNCi@XR3H^d1yX@jAQeajQh`(;6-WhAfmGlw3J5`+n-X38om~CGT4N6E46enL5!4$J!&^}m4rNxD&ny7az#4j%PR5|uF zEE|^h__;%!-K>JgQqROeNy|YCId5-V!Iogyg~8Qau{34_E{Rki6-WhAfm9$BNCh6X z0{M5K&UX2ZXUmj{Qh`(;6-Wghi2_2MotqL}Ep>9`{%uuF!RksG8Wc+p6eoYTNiex- z6$?RziDB6lBGS1g6gb-^Q%3Zw$5Kq`<5qynixRRP6XUsDtpT&wuZtespk6Kxi) zC&RV1&5Of)Mz)`@nK|Mw-s4@LeEmsnZVJ&cJF~$s zF^uR-|PH*7rht9uEDY^+nP+*hdUl|FBygTo;ixX$vd*QX7ZgJ z<~Qq|*ltauw~n}kfSh=zt8lt_^)4D<3y0GP^F@niW zt61iB<;3&l2LZ}KVdJ0$!J(ccb|E&A3Zw$5Kq`<5qynixDv%01DFs+tUp(^XWoNrY z$FpU+MOc-c5%fpm1yX@j zAQgC|3e0c*ms7nAEJcTL^9DHz^IQEW`X=wl-kQnNAr*K^3grEEm#aDQp7bQ{w|h5n zaX-fx`9hLi=BPt5Ip=meHS#slQREK2$!TB1#$d-mu`siRC*A$x$aIk6;1(Bxf6O~mXLDulafF*NbS=Iv4F z*p)-EAf;hqc(8d(@}0r&0KO>jYo|xy%_!p6Oq@~RHzPkFhW2*nzIY8@6morg^Ky)Q zP29}o98b=3xHvhT$6SYxa$C=R~nf+=Rdpnb58N{b6kRly9acP+#(F7{M8_BAXQ z>K^k}s_bUOq0}>RP||WxgPL*7{;laFWL|WdIJjPyYf!wJFs&6QUvt3}vlC>P7?ygJ zgTlr^6-F*N)RV+6#O@A0H-1rgIk1{nqqrxo=_uIe#vc+xdwa;nGIuJF3Zw$5K!*aX zL@x?5uo@l4J#w8eFHwip-Xb2^TPt_kQ-LR?K;Cb6xtt^KNl)c|D=3Xn@orOb!L&sX!`_3Zw$5Kq`<5qynixDv%1K0;#}V6cBp;cChA=a@r#Q+ zRgQfP%Z4RWe8O)zqa4f{^0bba-QtAUrJjj{B?-@)$a#C?3N{rp2f@kJT(QL03$+ue zKq`<5qynixDv%01eg*RHK%MOx9?zC36Qu&FKq`<5JQ4+jIy*Ndx?1Yw%Kh7_nu67p zGBhZb9w<)!Zj)eg(<&B%3=_k$U#J`uHV#@49O_A87h-`hbduoOL?>6y+p3a+)s-?d zD3%^5PGzR8k_e{Nna%VxhY7EZK{(q3!Kt1kb|E&A3Zw$5Kq`<5qynixRRP87uXZ*| zm?{y*&-%Fpf5Rq;aQ52r=f&aXnYTf>R`HEl;|~#-Q3?0k$5O-BR{DfPvxOTjHBxL+ z|0BBQ;TKFDE$GMQ5fyx_$*}~H;o9Z7!Pd&(=xs@&@c3O`We~o^WvoK4A}XVP-pzHU z@5{c6x}UGH(2d)ErOny&{X3PH#DtT773T2x%eU_}UaD@v8WUc#y#Ay%HwDj_o!MZR z7)JD_5U-}(<}+1zfY(&X-xK-D9{R2gpW5S%Jr4W{3;wW2ap7bg7T?K5I^tGx<&q z^PBZfY`3P-TSr_%Ku)~VRXAO|`kJD+G%wrHJUY2#CiB-xyeiAu=H$9Mvjoi?@fY3} zKQgo8vZ*XIOt?4kes!tm7OYKD9Bg%Sa@-U=VKw-MuG@gEZi2Nb1*<(O>_`cQ^|oAC zC5zvs8M+#UA(0BC0;xbMkP4&%&rt!P>3F%aT4HqR)5&$F{aY(})k0Y_&r2ksC%0q_ zO~gy*iCBNN)?_sY!6~fEH7FrHP@H^K1XIjTkYQpN(VIf-SGJ_X0|-OC(&B<^6P;W+ zZ>vfQR#(c^jbdQ-M?<6-WhAfm9$BNChrVf&6*d*)GZPY?(4qD)1~75W4Q%lxPaXeo80T zdG^7?3MzXvo65|HgI_X+D%7lFK7VV43aK{*@9^KdObvzGS4A+nX%!1WhKb>h`(V}H z6k@-!B^@3>80wW47hJ3Oz^t8I=b5)Jt)N&3Gm1U@k}*{A%B(}??PL-Psa+{UgJNl- z{;P0=csH$Lx&OSa_g0b!+1xrXBvOG?AQeaj9;pKJoB!ohF9S=_Vcfhyj>7y_KZ?G| zJF>TC@^nZAo{|E2zuo0(j=U%3{noieY*t(pM!t|_RHxG@Vt7ZsCOYb#I()iZ1TUK5 z5>NIBHs-qf?c|zgl#(&tsXA>~#+4D=M9glXLbzKph9-vWgOODj!n$0864C?3$yY@% z#q9IVdctejP*n~J8wV{24)r9l3$Z{LI!SPCqLZtC-oD6ri|ETd;d!hv))o|pGSjYe z9R<_%GbOEJxuAJVIlF=10en&5*G`YZn^DBCnK+}sZ$^GV4DIdCedYT0&eB~AoUT3J z{prZpL`Shhd+W%bE~!8&kP4&%sX!`_3fxYCT;JYKFW-CePw#W~sjP1WIqEwf#Rb$Xgk^8p?MWmu}Q!#T8oLtQnOW|@|@BqT#dMK6^ z2`8#xGInyEXWohxv}$3s7i>lx{E{(Lp=KR3Z%slWwJT+4P%KTD8j6#ziePfnDi(qa z6T`BftQ-_J4yvg3ri2zQw~i|LU5$Kh{G#x3U^TBsaZg;ZQLxXAKO~0s_K=Nb?o=QZ zNCi@X4h7~#Ylr8VTx4J#9mYL!oiHy^ht=L99@$$fciK~dC#68%Z+E$zBkxI1<$fzD zjZmu{B7c7e*DBZ>>ExQHxWd=i`G_kcxC%mJb~6g$Zpj#`5VQI^#RU`7#AF_VS6G*8 zP(qrpP{qktMKHze1Q{lVyX}J!D)wk3iCu^V!cecYxZv7ECs+Tx&ClOXh`!7dp2r$v zZ9#Ex=j~B2#q9T=x9|%?JxT0BY$6p%1yX@jAQeajQh`(;6-WhAfm9$BNCi@XyC@(8 zb#6*@@pp3d?}Jr#mb(gacYIFUN)|3qxFus~V#xk2S%o32%QYw=Jy4u{RRmMaenI%@U?cgz>X}F2UchNg|xRw)}Zv3W!VA8T?fL1eggd2X<^@;7>0k|;cWmsc5tFL4>G(5r~b zsGoOpo$33s@1pMKYbTd>B2*DS9;sm)En zGiGNt7$$}hy(z@2DYyAd6&~OlzOskDYs07Zcw>(Pf5L)4>``1eS%<}Ua*+;f zl5FePo>_vfC~D(}H(FS6SzzgHWq4DgEVeHqowi&{!KZYEAbN6a)fHH2WTBkm=mFyAvr(KmTV z_SQ_klf(RGy%XE5Y4p|+mk^K>?{pPT7q7miC@#&*b~KMpE}6;vbrP@2vbH(7uFfn$ zGe`V|x5balthj6{3k?(QO}t-S>bV7LlN1MA-JBdZ1y5KFzM<Ta7govQcWH*MMqx;#0;xbMkP4&%slanoKxjH%uB?_AUHWu#ooWBpN?x^4*39z~ zN$ANf8AB8C(s?4*U#&G+%|UPq>v9cBNDmYzUlqX=vlC>P7)JD_5c`!a>F@x;P_MMO z;MzndSI*n2l7iKhGBhZb9w<)!><~4D<(*!RJNCR~9O%c?z2G||bG^R}v_V0EPo4T_})iqq=CmY>E5CO55Onb(yQ&zBzr zCBN34_56>A@(a<(%}Jwpf~d z?bOKEL`RW3^d_f$4I6_U2gSn77M^tXizCxzvPZDVx44*t;@rJph&|NU z-xfrkF5IFZ<5M_G*KX{K%}g#q1UC`0Tc{B3rp3_26Pve3p<`DL#e$TEiQ&QKEy;HV zzXSN9z^|Pig*T&!Uo&w=f!~b$fEe1_o%`Z7cu~mp?aj+E@-=ZYmvcNh=kio4kP4&% zsX!`_3Zw#;t3a-A_qaCG9wZURQ(4~%4c2!)iVLnybaKt#F)4iUPSxoHr@0S?NXxzx z&tr|TwxBrpnhU0w{et$vIw~zLG*tyNtlqT{zqr^_<=EG-T&R1@TdA^}5rmifLdCmH#RbY8Rvvnkb3L%PXG)){)FZAup0q$MvQ1kN3DOph7bZb_sfDaN|UNK`hF7h}X( z_>TxVGos~*L ziWlDRd-dMydj0ON>aN#a-F*+bUcYzGcYfUOow{}F)~i?bDvHxKkQt4G!YF?4Pzuf6 zVW|m;K-tMUn1y3H_vhtISZNX!m?}YTR)i|QcN#$~H~U)$qp9E#3F3#)mLlAQGp!+n zMkUc#dfn#hZ!Jl$>V*4#j;W1_65y=cu~6mre(<`bf8iz^#1ElumdrqAATy8|$P8o# zG6R``%s^%!Gmsg`3}gl}1N&h>Xq31pk>o$oSNb~GLDp}Xv2(?zSXP2kh;XJ%MN~Rj zzhzY6#+#Q@p)^SmCCJT+Q04c&MC)J)nbr_?Dk|Y#3+*cic9q9&4(kO=mbfLZYEd4P z9C9}-e($go+E)2k9#lcNAC+9Ud(L2#F#v=hC+A9y|9p|{ESZ7KKxQB_kQvAfWCo5u z1NnEL4(%L{4=qv-lo`kjWCk(=$Akf4o{5VRNnJ|xmDg{BYznnbD!mG&CPkDW_iB?+ zswPAa_$r6xs`pt{l; zL4>MCORGEqutc>K!qyZKg2F-k5ZY$R3}gl}1DS!$KxQB_5M)59bU{)1M8qw`JFe(4f2st^XndD3#{!?o$(zn@HW#INF8Xgf--_(nDl8g7C+LrDu~jLyO+}l!hilW8m3c=z*gUt?CX%;m%Zxi zeR+WIw(9Kfyy?)>+VF_}dh0@&r@0Bx=r>Zky^*fx=OzsZVrV#1(&T4>IZo253 z(s85YTGvz&L76a1{+`HHJah${H{)>&55u3Z;17G$5H^Md_KCh2j?xO!&ZFH@8BJY; zw(EPk(C`Jrx^E4|t&q!Fx8>uh?W;<-2v%ZYqItE0Tr*%x(gl2f|iefW7-<6t>g` zCET|vh|-6swPAa_$r6xs`pyOV*DILw2%s^%!GmsfL4Gb*%_af!w zoP_bo=}PWNW?<a!vXRx-+DN#h}pfqrfO00mORICpCS5! z2sh3XMMYHFA1XL~DIL{$M7;ta1UY?q6-rHtC_!~LjUYl*qs7I!C90(mwx);>6b|Bt z&^AkEATy8|$P8o#G6R``)6D>9Qdv$E1Ld2Or zCoW1vU2`2U(bwUu-wG0PulO8%9Zcc7n{_Zy$i&@LdKF4dRKSK3DZlF6^;msa1+k7h7cN*HE0uk9ZubDA|XEyPzoOIl&J{H4z!)rtp$a`&`v77 z3Z*70;alMd?VVwj8d3?d+*F06Jg7P5K}f=VQ<~PkoOy(tXI*9>Gmsg`3@kYV<%|FF zWGNk{qMiBX3uISPzSMW+KI*s2zD0v)KxW`1F_6dGg`CYU$D|W6-Y&Wme2=+Q(&ZCL zy6UOz$}#G%l)XFu(}-!d+ek`sac}BWjYHJ-UE24 zgtwjUN^W)Kc*4TzN_c1FBa}}2w(ov%tXe9`^V_|rqs!;S-dK)f>uAeOnSsneW*{?= z8ORJ|29}qBJilGUxv`6I;`4D*=C{HQhF3mn2%%9)^p)3dgG>swPAa_$r6xs`AouE^ zP~{A()GX0Dm@uDkrZt4nps*MdeH~8S3KE(*L)!cd14;l!?v$Yni$dMa`Yk9F#&%Na zRVX!40UJut3@bCAISEzHuu2V$AeNhIom+WOd*eY+f_+n(*1nv11fB0!$?L|KN;dao zQxe~3)y7f!!A?4KKBVOd>q>Cl_)$uyeLKpI<=B~l%s^%!GmyYQIcZHm9uh=4jH8|T z?sA^snkjYG5r^Y0`{oFjn=%6@mw`OqF67*HIVPQy@m9z*iDJ!JJUj~=NnCPmB+*w{ z;>w7Tl@EVrG!6<){obJznmbdbA}9iFC+lDqj_KT=mos6dNmO8}1i4ues{Gz*1hL%g zZyk)Lf=48XA3|G-a1+k7h7cN+L|^H3o3FpMB)zH=?)y2WHYQ4dvu?*imEZfp>z4k7 zn{W_6gtl2S1DS!$KxQB_kQvAfWCk(=nSsneW*{?=8ORLmhXJ8c;-W;7|3qKu>tKPE z<)nn1D?Y`t63m4zoGDWgl}^@g8CAIP=H*l912+5Ke$P8o# zG6R``%s^(~STm4+2kOvH-}ulX>!i}F zP-;>{339JC302OpN)3%5mYZt5P$<3UV>fN&5$gtipnCeaC@QAzZb>o&-wQ0t`9 zt59lELIabYDU|q!mQiIy3#*Fne2ejR+0Oi_rQnB7jn9?(RM{A-RdvEdkLk?16-w zQYYab(FMYtF!P9so(IC0@Hj+AWyA_oYh6=C1ZBc3`FkQ)@z51)-i*gBJPd!rf zJCAltWi)jW+OF^ELc%KJ%PvvTj$L??yHa zUjaHQBX|mwNgcg?ei`)8^pN9JXV8KJ!is2IED-gW;aTJn@X=DX&Aul#xpl%!it9{>@4S< z^{omL$O8!`b(B5Hk{QShWCk(=nSso}X=FgyY5#PkF~dsYC(+j-t=}T$Mi=Tib2&v4 z_Q{zt6;T-hp+xzEv?0XDLw-3FNyYMoSi6-rHtC_%@)Zc{p%F`0qPKxQB_a2goM--|r7vphbuNI6hu z;Pf$2zCS#D9nU>Jz6{9pP37_JK+gPBGJv{;6kF$li&EWKE@4Ad5`E=$uppB{t&>Wx zLa9j+C8%*?>s4)`${ALvDPNy0_w)L#hr^1P-RoqA!SW<2+GRM5XjBAbtpKvt$M`1DS!$ zKxQB_kQvAfWCl(Y1Ld2OB-}TpY3<9IN62~BWdnSso}k~2`g_%BbE(qSsvnQy*8b|vLY zeOK!sZH zb4+balmIv9LY3e960L(JWLiVmsi3eJ!@U;TR}kzfkKG*BC+Yy}w-WRYB>{ClmIrlM z9)v`p>}LHI{0JjgJXs#h=H*lhQ<(sV(=;2_b!!X*J z?=I&F{~2$)@KGz6a#s@UC8O|a!fiYN{339U{RQbKr2x7U} z-#Qpg1&>G&KZLdv;U=7E4IwltiN4b7_Mq3_y3Y`4hX^;$l&OeHCw0rH!i_gCr$T9x zB1({xm9bFe_kQrYrGMcj9K;WyZI;YHX5bVuP`+qPM-TR2Paz4p%g2s^aFZzzS-)k*&J~|x`4LJX!kID^Q8{7j zU;#RmTrAN#m@psbiBoDCC!GyZN%WQLHprw<>!i}FP-;>{32K~Jo(#)Y;l>$Osac}B zWja|7%78tngKVD}$P8o#G6R``%)q`FnEyrw`wDYlqt=HI2ljaA4#tNbF$c^HWCk(= znSmu|K$u^6<)elW8kIy}c^xdsq)_Xm(yLHvQbY+l?(1N}PB;ltY8u^RLsSxd<+=?r zDbzZt^eU8^6j6d2CpNF&rtp?AnSsneW*{?=8ORJA7Y3Axx@S9Fk!)N!LTFI#hc?ld zl#W_K3dPLSZo}+t+5J*p>BIl;MW`BGX!wFs@$jTfO-ODcbxT0B3k^s)vk!Qd@Q>)i z($8_gZK2Zh_~%P_9HOH#qMMo1v&UNzB(RC}tqP)a;qK+sx$vJqjfUyeBCyqWCHp$0 z_hqm8dQXBN!i}^0RD|Vw{lHVg($;+z!d{_$ zz9}6yO0Is#ScM~kGGUhdJ&~(;=n6J(#^V+qhCgA!ANHsrYzzzR6MZopr4^)|N4upm znz{&W*Y|Xx;R}X!-x`WrA(ypo%g0mOSCw!Pu6E$t8OQSSbL?|jw=2$fBb$b=03DSP zJO#?6j^o=I2m4k93FP5(e4zIDYv6(0&u=XAn)Ik{LK|4CJ~!Zf7j# zTQVU0?_6#dKdHuHe;3ILa6RLXeYlrKa}fgb;D+L{D9hbB8knnSsne zW*{?=8ORKrE(Xds)yv)K{CU}-ouBccMaqFP1E-P!VXvJl4oXd9QGyLY;VM(2uftit z6(rQ?!UEU96u!Gz2Lpvn+)brdq0~eLY$!o)R)nhX83e!*wa@k2VBeIE-I-P4h@c2J z;Y@1?p+Q-LHqqDN)a@n`^78B-}TpY3<9IN62~BWdnSso}k~2`g_%BbE(qSsPPv16b#}~-1 zqd;c zeJTCadBmJjFWh+Zaw?Q2DWU|`*))6!RgIR`au)!WsFp(5nj%6_IEWuYTZ(X#=!DRy zB>GCP+YSFSDw+%d?lVN%A;OI_Wh$bww{AVYl#c2=qFw-XGI^w!z3JVk719+)~x1H`vZgu5&!oulFcxU7zlurA$?|zko*uL!-Zdi`$ z3-?Qh(ur3%wOu);-!7jM33=NDOon6zG6R``%s^%!Gmsfr9tQIKb`j^oF2ae=$4Qyr z3OmTo_*a{12%%9)^p(C27RW4`3<1s+pJEvYN+H6TG8IudVe4Q4I+R?HN{Ho#V^q8Q z)Wk#xaB{BH_|F%^RU+I;bW+)P|FakqeH~8S3KH`303`wLl&J`cLfbxZ3EdBRr4l}* z{NH}kdKF4dRKSK3hjOVmE=HrO|%V|Qj%I3gAU22*w?5NAWEiB1n=7{HXf4uTtLzZL9KquqvLD-Ok7)NY1qTj!If6tw? zc){^D&BcLGi|U&&3p!)XSv))o97*vw>?HasOI#U|Tncxbwt>uO6BI`AdxuhJ?hZ>$ zNCe7G*1;?s)44w{XTnO8sK8VSaG(>Bgj~&a)woE4qmtPBHV<7_#w1C z9wNsh&1sg$D%lpX+G@!S$B2P)SUE-+=TtKTnSso}v0*?PnesiyT%vQKGdMG_C$La1fz){-F`qvBlgDSW|H zXzomzim0>~FiT&XmbR^gg{>(f1bOpvDwHNEq69fvQK8E3eTmk=5;CnJ>{L|3y%yS6 z5bP?CogCID{UDz^guO#6L{#}$9#pl){it~B*7HP@sK*je0KIuR6`Jb10J=H%hpmke z#o|%(q&%p-@gOEbfWHg1FDE4CJnJ(9nSsneW*{?=8ORJQKLh!9pbqUEjSnr-DF`H| zppQ$^MO~6SavGU|6UuQj;P|P+e(_ zAVO86rB$8)SfW}AVQY#ALE#{N2yL@u296&Ca{N-x8D|DeuG?G$$Cv?S?(W%6YYG$X z=Kbluq;%E_QYdDoKO56$*i6grVxs4P@FhGB(NP&Og7ai3H8F|wtqP*_1&g7BX|jfUyeBCyqWCHp$0 z_hqm8dS9MnVQ-w>4|KCseXk#QN?3y2XQ4Fr|9j<(5o*18ITcEis7&q-)uzzi@14~E zvD|dgH>Kl7$<-?zRX8Fj6K2WZ6S<0qu3+EQQb0~PY-WCoUn0jb{~E=xx4Jdo${622cl zo}$MgZ7Gs0w&9hJ8d4a{t?2EtV?}YASgU6CuD!rB|WUq=*t!XVVBGR5e;!T>!um z)lvvsQ$z>~2k}E_n-^|fSVPe%I}><5X;Rz*TI5)Q#y8MR)r&iBHV;Cts#U4WewUy zUx!n-n@Gsd1C)Y?J7p?@vI8yZcBDl4gS1PV_>fpoCY!;hYU^2Pt?6R9$gYNvz$y4Pnp zW!~F7|1n=6yON`Ra&_6at~kqnGXqP>!08@u7j{az9FtDOc)RFMEbNtXQ6+GICsLFf znMrb?9k(-%7rxu&b0Q(x1@ujdbq#DiD-KEx&z^86xxWOmTr3`*MZO9GfD+XR(z2CS zOKn8ssQA6ZRA^r1V|l<5G|~m7qdJeMR{(?{XI@@~Qj;P|P@T7Z_yzO*X za;q!H6BbTa!aE}$p>*1}efNvc!KD%o)m@4B93Qmotk3Zx+7FuC0dwfIZwJgRn`Z_x z1DS!$KxQB_kQrz*kmt8;PUdg1^P8kwoRs;ku*>X>f3>NG5E_+4U*$U{r9bDIOuf(& z$Sj&n*gLdBgfnF-qB6SBd0 zQV3g9LK~W1_Fasarup?lV-rG!WoUnTnt&w6k@aOl8n3m7q|{ z|LrHOSE1BI1#BomZdQb<@EHWa61C5|4fajx*qvDwj);W|uNz+~+3YZzovwUa7EV`! z>&A~#I_=w0b}Yxv3}gl}1DSyY2Fgim0&)(B%z@ntJ&5gIUwe;rPXp(=6fL#~*>pKi z;Ox;W>eVbv(PiHjmgK2&R6l8hrE#h#IWC>8Pu;R4EFC7Io%!x^Ogic()uQ@VoLM?! z%~?D=3mi%DxLA?st1NM4L~<$IaoPqlqfJm4#qS+Tp}9LOH6alwJ6Q*_a7^d^yqpOu zO`-x*CCJT+Q04bdBZ%duT9{HE)ZTay5(}je5Dwyp(3T?HBsw89Dv7?*>o#A1Ye{-l zC*1dQOl?e*fV$FVeT{{x*_Jx2QgiUSr5E8Q9K;Wy?eP#f9%-J0EcGOGBKKi_25!gr znPBs1d9(IsrhZk{WCk(=2WLR&B5_e7DO#eh@+DU3)4e8BEwn6h9jtjxBti@0J5#12 zD*kmaOWUdo%7eO>Jcx-9;LXdaP@1HO5>#i?@Fi3=T3THIz!KF`2wPJ`2nq-BLugA8 zZW5gk8kIy}wn_d*yjE<(s&U-iAD zoeHH%iYP&D&V?$!cN#$~H`VD;9@O4=5ECIF9K;WyZI*>I(0r!`_dGvY7Ri7t(pmPE z88{>cj$0^ zmLT_8D9!!9|pHEECJtDjfGI6K2WZ z6S<0qu3+_!Q2`|>K1h5^iOn%T?*(NNf`3rx&;GL)LAg!@(nQTmz5Z06iU!SKr= z`Ir!TKUsYwwfsLrMlM5t=Cv?iPYSfW}AVQY#ALE#{N2yH3C zO`;P*qmt-rA9XuyQ58*w0QVW9FNkpCOqq(PH0o9WKjZYJbX4cTgO~^bPG4SyQj;P| zP@PR9h)~sNadB>mYAJ-RDIx@ggZLq|Jsu)enq{d~hs*e%b$pCPk8$p&Jav1@`=7hN zCH6m!g7_z9WO-| zIf=}`iDf|8Gv|VfQqx#2VM9>3xRvPZaMr;D2{pRFuojPE`4Rtw`_7c92#P}6&H63! z5r+2WjJI|_wEAtzH|c)BVQc1lBF&e+ z%ydR)_7A*k59}e}pv&h(AZ(?w)y~2GixE0tXLyP&9-f7{*3` z6~A|w3eBsOuke7yoBTDgY8_0I;>JdBZ%du%13!nd*eY&gn)1mKZLdv;U>`up;1Znm0q{a-)^cZrQ$G}&n~B} z%wQ|DZz<-k+dz;;!bLcSjENB7&C97!nxu#lR9D)pudz@y+tMyi04z~QT(?YNVZwU= zFO~4N(_P7}t{hKTI9&z(A@4|KpbtkDKl_*3|NHfUuL{D)4!enV}ePdEoO=Z5JOpiV04dB(q|ZZZ1Q1d zU=9ZEKn;$Jz4;1l?n*Em@>Ta-3H;Ci?^QN&z(Q<0Y&1uhQ^Em(|0HZ2pz49+U zgY)rLGyKq3&q5awg?{UIjZP+5TN?bN8NdB2J=06*e#CD9lW0*|DRHjUmKdG&@*M zFg=@;NZ+j7cis$9x28Yp8CyIrx-7e-tTO1dF`(1ez_Z5UXSU*^D0FL$Ej|}U)%SPe zHW{&e43v}BebJcpn`c<|kg|x2{k&Or2GWL; zL+pj5#?ou=K-t|o`|$QlYZmLbpNbnQAogQDbAIxs;m-Cym*JVOTsFhWlM*+LAi5lr zPRe*&uuP&@a~2PSm%L)QP#&fy`(e6gu;Jhf-+HlplYx z*BjsZA=CTfU48SRuUfW;MKI1j_$RhEnY^)QR)3{8+WCc*q4^zrK-}tr(o>xW4?<$0 z6at)7dKF4dRNzwysj)j+K7z$=)!jaxqWXHaquj zo9X&3-k#|ZU*LN3Po|vwF3!l!U*Q(hRKN*u5*e3&)z@{-97;}wzrEf0u?@n}GTuB40#Ur`e6Mbh`W*~)u z=X+aEy|iXt??2f~D#11L$4(@1(FL>7LQ`k;Kx16 z1J2ciJA@=jqA!MnC^wBDtvmvm(Ksr8?=Tgb*C~JYvu5zcyRIi+f4lXaU!Qit{@V-i zh3jv3ebF(CzfC1IF%bglym(Og@+y>?6j6fQtO!-kuu2V$AeNgdALT*qjR!Fi0>VN3 z5ZY3Nn?xssMkUeL64mWymGZa$`idF;U}Uy#{<@v8F)Hu>m^pI|DEpNcjQP?x&zb?u zZ0o6~bhMyzOIKCGmIsXjVna-nfI6FoFQKZ@((t}Sb<0Gv6b#tj6HnoiuK!+){p3)R z)^D%fQ-1Anyt+0v-yRg_e7eb`W(K-vKsm@5qeabapcth%PTg=I!j~lNOQ{AKml;?X z1GpYcI32y5>=6Zih2U*GV96KNESZ6VfkXNoD1Ekg19%Ywr{E3>Nec>C1G`bw?0IAF z9N{bM#kkAT=ls#~|FM$svC1|lTQJbu8koVr8q4c&ad~0_EqDXAGUmH5%8R)4e8Ori z?vln<&OP!F3=*<*#=s6P=rUJ-!si2DF7!cBXbq63fEq~JRJSX>h3pu zIQnMkh5?~l4h$S1EFQ%O!IwJa0jU@65RxQ`zRFQb>9Y}}l}8}6q=fw5VJ>vRl)v=| zuEG7~m7%;JbvODLo8vMXy#-}E`DqNctH!+hBP&K8!D!p+g3?u;N8ImBUM*j=_H&%# zTM4SOY4{SV8ZCUiXjB7^EgqgSp(Z9mKsbmWLR*S(ljwxds3iJYwz|FX;F`ttx5m7T z$JSe_Pj4gL{m#?n4Z$vd*o@@Ee_h|^>b_OFsVgPovrr4SE?-`h4cOtUo+tVp9wOfn3-c0*B16sP^0!69_AjD7mYOERtVvhckC*U z8{J)CQ`RF=iL)F@aAQrI2hnS@V^dXd4R^^g9+t9Oav=xN>C#&K9ek4A#MeO z#6-EiS7y@+GH$gv-efXDMWE$D!y6ky66ssRm%Eo!@4|onG#aK;i@;XjmF%k=&y?O8 zLF&^}b)j9YwGoZO@@mLCOois`{`_D57#7$k`eHarD@Z$!c1vY6brIU4rW##n z_<~{Gw^A`e_b2Rrd5S{5w`!gQL9_>7>*)UDF)Bko3*ES#*UV`F#Lscmx8i(P^zH3@ zFl=Rn2!S$*#vdmIX=hefWi-Nm0OcsUAJcAZq#L(|SMAI<$C9oj;R)GQ=)!N8ed{#w z8RvYhIYQAcVoMiJXMN_vE7(wO!*hxnLTFIN(E5D2-XH<)o+t5HSx@Z*2d2?d8Bq}w zzATP_P@>Ar_#UrbFqlzT2I=>PMvR>r02UH_MrNtUZ9n?BsAi;$wQBot0GS5S3Nn)i zwL!t`MhPeru6&i@yb7`s70hms@Ju$1AWHj2OMMn9BO)pSEe|S%@*pbVzBPQg^T4gx zh&nuBXO~z=#wH$e?(B-uq(o)KtX!HRExx>N1~$nogE9k)V?gMtdTu5C3=~K5;Rxe1 zN?vKbbkln#mE{C8ptrVg0ppX`jK%d}^7X{)!OYj60w?eND}8%)!y5B%iK!qyZKg2F-k5ZY3Nn?xssMkUeLveoU42cy+>5C~(Tr=n=zR^Dsw|ESUi^a7f>aLiDV0zD(Z*J&UFBJ|0QZ8(F-0>f@JA`TNq?HwIIOvWj_>W$_H` zZ-7~R9!Kxdauon`gyFUk{I0a=T}sJGQK^?Pq0k+m2jx{>XR`luD`T6G) zJ#%sE5o40qZ$I@E-fX{gr`;L9V9%ScSi^VOoaC0vC6)X9f6)vG9acFnSy4_|UNIOKhLCTkJJUB9c`-zpldH>%!YwkojCdtjG`6KI)FTF4_zk}b?xzOr9 z*Ch%_T`9!JLi1a;u~iwT7-q}~XW)=NFViWFx8ZvW>-c(S@Cf&y2f~R=?{GK+C1A`cvT5KaxTXK z#^S+oqj+1PJm8yU;SM2Dp^rpg>FZ$lLcugMZDkfsNPuW`q4HJq5=sJ!l>hb}2KTkY zX!|$t3Gdd&*c=gs{&ie`djtP1)^B@n+rD5{s_tK=b(Qe5JgD>FK~UgB2&jBn9#p=# z?^Gx?Q9%|;P@PS~mr&JcslqBXG=f-eS_)xnRN!0)3J38+XiE`p5}gnl6s`g#`bw|c zz%weC43(RBxX%!2hX^-F*}m|gn#ca#j~M&KN3419Ek!q|^UDrY+aDW>;(z~3FHA-+ ze*0Qd_kj<$jypSml(>$ij6w=q%!$Mc@$)H85+lS3993wDQ3 z?&RvD#T8@ZK@LQCN0--b!NKv8^2L97l9qW=v1Q3g+A~FGd@Cv)$GpK^`3pNU%DH!w zpE7fMu3zf+VYwKS1@&z~ZWhz0Bla@FJF>y0UU6Ma`ZJKjEhc-`3|Gzhog?l-4!tlviaA~2miWh{aA>I=H@?6>V?5oKZF zuroR0y0MGun9vbB!&7YWC{7q>c*+Bw2f@Q8_c!jV4hO%AM;)YAShlhrikYTAGs2=$ zb)oGYrb2V3{EyyXh7;UBq4$CHQ6KBKN<~zD^Io%~Kq>B@U@m+UtG6@V*SZUU=x#5g zUI7q-W_-=i;ZrD0QbY-Ivm#VE!zwj2f>>^<)1y47z40I>LO?i(A3|G-aFghS(5NK( zDn~-4zq;2>+u@>6{t;$(q^l zCoFN7Q$zuD(m}mbq12>^66EB3EL8cuFHzkx(S-@`C%ja`dp4)Zt>=Kd^qc%Woy+D^ zPu&@}x`mI+CincK0%*sNsyXwroyho%jhluM6BbTa!rLz&p>*1}efNv69ZMzUsGgY9 zin(Yc5o>BBs!VD1wceilC)i|HP2hg*nqE9d$o4439%b+&h@G~i>P#&8Oxfb~y=UyU z(@&`Jx=~xa^a6A_1kAcq$^bu*N*9~_3V`ay-=?ufhPV#bCd9Zrt@ntGfAY+Z?S%B*6_VZv$dj=r0Z`5pF8MNxN7&6 zJFlDZ3m9u(9!`w8Yj6c#hW#h*y57@wqNqzr=f+khvHuzaIP^#S6ta$#=5GR*LMwJA zvUro+-$Y+!MJdA%@DT1eZ3CInCMXQw@{o2#h zT&VQQ7#iL`LH~z0jQ-WDt1^1!BfXw}`Nu|YLlC+3`?#p{=YFVIf*vcNy*dvZL`8^j z)8SJnO;SV&sD=wZD2Ed*eY+f_+n(*1nv11fB0!$?L|KN;Z?Txy2jV{U4XieGff;<=JmM z)sNptbjKK6f7@FzfBaed@YU5j@4Wu94hOIQ=fn4daNLjq_hMjl`w5ouJYspmx{}z; zs;juA-%k71aqfrjUZWw2z!SYFG5Z-DT$z5x95Lje11n|GdFU9^A&dt|Q`hx$w7CpYkl)ATncI5+Bc&w-fM31$}**^x|ci%iG@-K z*h|NVr-iatv3tIz`oI>ZN_Cx0!3Jn3Bi}rpPR#qn84+%G!6=%9e(dn3eAzSee8iXGk)SWd*MruSgha56)J)vu=~xY zZ|IGG@@Zp#cjKIqH}+IM3B$)9I4kxu7y7+N&*QF5aH4cn=fQ)BjD-Lvm0pEXlOjq` zoy}~JK-k&{wib_l)-5fCo7u1)UrtD2&g74e$o#3Qn4L7M?>~ooA3V_;*sUM!_a;yE z2fSTfSn$M}+|%3cz4Q^Q<5knwAN*rGdi}QR7WdK}t$%zV=1<>nGwdR3=Vw6XH#v3| z!{T{8N7{pJfCu=fEB>ocf~#=&ngHorgJ%fFhvJ@4{H@b+l(ofB!i@!NPB~tq%AB1$ z)$pBI?==Rf^cFjMA2wVPC=O6-5$PAV1_NlQT0!529gBjyKy$3$a+Trm#2#L#9H~nN zbdEDgEXPMFJ}%INv@R>Evws;#?DR3jDu+lhXOwN5X27qLV(`t98E7)_=YJWNIlfJ$ zz2c*WA!?UZh_B`*$(xEP!8X3{fcJo_6U-2`j>IUAI{WuQ(Sd-=r|hTAW`$C}=EuJz3)9>9HcpTBFc{d51MSijZlbX4~;;H?2o{{a6}QWKb>^Y-L0(D){~ zHv1K;+nEN-^T3CV$j6vf0`h|7A%x#UMfDih$|qkS-ivR{S18cD=(@3 zD*RFWA0I`~(-(6XUk6JjrDx74$Hq0ILzH6QJRcn?hb-2&D^_Y1(}N3lp1}vd1Jv$L z59UKNxN;x-)n4iXPEIKUk2M2SBmx9y5a&pc>V49 zSMFR@{(jlBSifC=p@*;X($}Xsz`ikHn^gy4Kxj;gd73O9#VZ?YMR_p5L%2gok|g>n zt3v6s5u}wzAhQLogYo_e<6Dmy`J3ybV*QpED#S$Z3`vozIp#!jP__3 zlbcVQ{`Vff19!UlH|Bxge(#V+F8 zm0pEXlOjq`olPT%P}OKz%DPQCHSEdKO6+|Zu1_eRAb~Cvh{<#I8t-}V#6#<9uRV3Q z(SSv*n%r|i`+xf5{oZ(W@a*6__;EB-iY}^2`C0vu-Yp|>#-4WTjthN&A^3(@ivVh z1#C<3-l~A3WK-%LcmS;#-6*Uuvn|A;aEk)Qb7A^)C>8nN0vS;H;=n81A
    w0N|q z-fRrY{m>@*lF|`}wc;%E*kwEPXQt8d_F~XG`m58h${>An0Y~dYEv_nvPB3l)Jhs^M z#h4BBLFr|;3ve)`FCdy!vLPgbOK_Bc0gQz^Jv{2ug8khfvkFof6Xo}h2y}5iuEH8e z*vg0z8u?TTr6wjbeG3Iq`f>Mi8bSEapGL!UY7yA#yOMpCRiX6O2vVP(ijMmC&^>eq z^1&~RguKI2XwB|_3t3o>m}_FpO}0d3(#NM1JyYCd>AZz6eAZy@8TE@_v$jm1-{o!B z2O7ij%9-7~T6EBq>9rI}O;jf3%-zko(BAKzMi9$Qb$XNswKpCFCD=EmY3<9IN9BA% zEk9nriieW&4}&)~<{><42phu!`$S(1M`;CV=h1GdjHWI^+n?Lhg@!K})_t2% zOxXSM6oq_0)FW^eU04NA+Jmnly8rla#+MSZr|LL&^eJDOS+PT zCuCQl3%_0Vt<%J3ob$EjKoYInpmz;w)0LwJwCm??F1&(noQHzmVL7k=;6GnCN!^f2tA z`quE}&Vv~qbLmCvc8(4z%8i%QnCmFHWEqj%Lh5tb8|dgppCvGdpVCSGcPbcIWZhPy z)!6j==BHBW>tEqFfH6>wHhM-37gV0dNIS-;wOyBVs&CwFbg^C(?W;NW7IWjbe(1K*XCA%H^mlMQ zn7Mz|41ehkqr4uhzw(!|&6&pw^i=nf2Qd)>oK$)hN==F=L3K8bAVO86r8VIMz!KF` z2wPJ`2nq-BLugA8ZW5gk8kIy}hg-LsOuLmC+N4zI&pdz&z5j6KlKIjjvOaptFloFU zjXt)9`-t9V2EYG;j>hjrrGDpq#yt16GxFGHd%f+Iw-z(zu`)_sDLjaY5YS3^0dI@o zR_VLWrV&J_YP7V<697w8OCfAc5g{lX#1EnE@t4GTf@M!hv|nzmKO%!~;@1AxB66%%% zOPbi8*_;+P1_Mp86F8pqilrrdsLLUw8pe8SvoWi2a<(n+pKu274H&N^)a{%#QQ zo#mhmNa3K^7PB%OS~l@SlVHVzg9u&*A%rAJqOZeR2gCb)q%BOnmD#Lf6Giv*%WDJk zAU=24`WW$XvHU&s$@6a*j9$fM3Gz*|W1d_!gU9bMef#&p=A%DUTpeMR)FO|8#C=W? z1+bNHRrgMXrur^`ZdUwZYa>LlczDW$nwSUy{w}yPtE#ecK97^iCis7jgy2W%E0QTo z+!9yit~{Vo()@PT`=H?q9)u|{<;S1w4e@(A{nanO=UKD;%+x>HgQ8!<2Wyk(zhs7A zdcnv?-#aw#{3@J%;(>FxA7gK1KmAu+LqO1FsTBTqQt4GFH7TM5&9bul$&OIv46D@8 z2x7TuoeW`XiU>jBAbtpKPoI$Ny<&?7eFwgzgL%UC&SQA#N8Kh#F`;<*PV?f`M+evX zuPNT8JvuUz=Wtd({+y8;?<~)BlCuoT4D2$H_J&@|QA93aG*OiIs94(Cb>rUyc=dnA zs9mquVP@NV5Cv=T3+Wrr!mxOGcRgkNPP69ZFi_3zc6-DZn+3@LuUvvxzbm+L5(i0} z>Q}LT%NJU$4TScbkWk=n`l7hcTywdTz>_1g@U9FnG~%hzv(K{V#wq@B%Ue-=pYq`^ z0F7#W0{?bK*c-BJN1VhJb(f1Th0PO&sb7B^{nEyf@1Q+IJCLj~?U`%i8Qlt26(VAb zL3stg$6E`33uiR;y?anvxxdVPrXX9t@Fgx~Fa1ZDQ-!y$wM(A!HJO~OX6at)7dKF4d zRM0Oa$jOQbRnD+VO-YL7K3`_qWkO9*;9Lj@2k}E_OA&4ooe&xnUIdlsE4^+5&nR*i zlubMpooA?^9i~Eaq`a~I4~+T4|8K>te&81eqm9?sY;>gDf#pLlZI~Bs;x4y?pG4ih zcW|Tk-Cl3?@dtXBE`9!*kqddrfbQnOgOFH!ax4Tmsq`w8ny8#HMhSAWB2+oU zDm65MSZ?-Lx9FP@numki8$zQNrgUkuCF)>4)9k7ixTKGIoeDqZ$% zp@|+7XE>kuE7o26d?&b`L@);Cr?=5V!S~Q{{q0b2k9}OgsKs>8P%hs8;}lASabxg;J9uN>H86Y!E9{&9*o>w?ws0 zhOjk7grIN`KZLdm7v4{JspO&LZ1jJ+fX{i)*p;21H`gEjWKW`&w~Tq8H7hsHPw=hC z0{t4c8?PEWc@9(CkFVou1^({duNJyFHWQxHu7r0+K0@iVZ~N{SpM!@W9IG`cPC2S4 zVU4-Ntl#$th9b*bfJQ}tz#F&$uKuz=Vbp#O$2)j1wcZWx2zGD{u9<#Koh?aXlTiBv zb@`k~NU77lCB#2+h#-T0N_mAdohj^VmX&tVj0N|h|9aT0)T%M}nfIH~eOlEyKYJLR zF)BpZo+xJLL(79KnSq)C&eDQXy^{%opwuZq%2#|I@l%MPTqq`amLYB$ixS067?IGx zoYA+L9(Jx6>1|Kn@dh1b<>_ExcaTJN1jV9?lH?3LT_o}yN$?5;E4*#oxbHI73>XQw z4jGB17N7H8!M7s^7>O~NU`v*zWuSVsT$%(?;psVDG#~EWkUIC+1qvln2QT63gvozn z#{50>KGtu~PPQ&>7Qcu7(pvu)dLQgPOP|amZS=Q<=C@J#fOWEtL6D?U6Cs zS%{zeyBMtB{D7MKz6n>jf5Jy`|6BeZx{N<5X()KkMf}Q#)Fs$0pTEi2yH3CO`;P*gTj-Y=xZ@`8_Whu zF`K)bwlXUKvnk(N**zaI*G7S0-SWSA?w#hhnDH}66{yoTZb&8tQy>lZGrKQkDtzVyEj_2Cb$ z87_vUi{&}(O1R*0gwko>j<8=x8k%DeAJbtiHovV>r3IvSjwQir#}97e-e6`ruHbm( zTU{0+0%SRE3@o-FHjNf9e|BCPlrNfm>Is-&gqP;oW6T{Abf$7aVoj{b~^<8Py9SxyF)aJ)?%Jjv&9_-E%Zxm8Ug zSFi8ltbHrW73;TWuzqVMTbLq$x~CTR(zWJSAHK8;OxmlT4oT?wKzMQ;sVu5*!d}oB zYtG_fc&6+19d=XjC?NbF6q+XbDob4HuMwn`M<6pA2Zhf3-k}tlmnqBBzx{^M_S)5H z_oE;4!gLEfZQp35%v41i*iYaEY3~u$r;F{S9&q-WLR537P{dT0U_0O+w ze9)M$ub&^9k6#gd8(oZf(qNM%GcX4O9IEFLT*X*dS!~di@_Apz=ozlx;sZBIZ+N?z z-cX^q?HvXe%jW3ZgP<%Yo&n*jO-QtOJqjUab@%Zg>6-DW)pT99tZ!SiZE`!nRpk6% zEFs{tvTHn`>=fJO-N^E$cDgdt)b18QDbUuI)3`)iSWTZk12Z^_gDYmB50|#uFL(04 z%)kr-@!x@Jj>vY#wz*3j-u>6tC#1|Uiu%67rI?Aawiv00_|emA)0mrsRfBjdxc5!2 z-X__n{^$pP8J6309*Wj);p!OsUl}QkQYx3g!6tt3?B-q9M!bK*ChmXx7OdYsSls^> z>$ksPKlmx!mfUFJbICWd#h{q3j4Tz`wQKDO&3 zVTNwmG5CDV>fbwKMvwkAJKA|K82#>Vnzudvz{<|!pYQeU%PXU`4czzR8tv;|x}7y! zg@;Zmy$Yo!MUayi45XYjq)>?;RCiu}ZSaA*aqA9g zpAt2d0>({G`$p;uzJ3Ol#cYR#jJ?&UC*rc4Fa|;&GX2L`-OkI!daozbGSgJkZ6mDG z{+PE>p#0Jqb542CH#)@C6@50NVewMEPg|5{yC_H5PmlqL&J)UIi=dYo<+{aHh{f-m zPTzRUsM@uR^Iw5hcjY zxlrW{tJKg4V!7e#N4rd@iHQ&p4&sNbz7b!0(??@K=Jx-eXwr^?;c_O79Wg#_nMLa68FEgpS?6PUsxHu@BjHD zv#oRhf9HieDIx^bdGVmC6R$$4Nf9OJSl4aBsYxN?=rHm3Wp z?z6PB{np-~_Zp5iexj$v{coQ!=I)2qt@fY1yQn!9VV1=(aO~?gKEIWHFojolQ@%M% zw8r>4+ThwP9$J|5^@OGRA^gOd#s4gsfn5gT23Q{O=x~unN8+8FxE%jt?Cpz9< zgrD}ihsryYiib00B`7F2p|wo&I(G2MZp)YQ%1A=$gr^WTghV)M69Au%&hIJ)d|EVF z!EsQOx8d<|J`RPgjOe}H z;KwTos`KJObw<4kr6wwqyNwc5XVVBGR5eN9PF~`nr-GhhvTc2baZoapzHvnQrAFw07CI zLrA{}!NET&qW~gWw*gy>8$s=S%0>DLN6v*;@KX>To>SD2FrmsL6E z6dxSSGY?aIsqERE9tM(gMh3X>as|s0>wRkkKSGIbrsJB0sZ;#Vk{L+&UL=RRWchV| zv}N&_M~453FM7}SKKyI=KJVa#o=R~&*r$7Ddus*vZ2Hwc-a>PsCd&dD5c={@R~oaB zq_By;%6d}zYy_!aS8=+h3#qM^+9;uJM*;5$Ab9L{AK$@UWrYQXc;a^j!0ns=>tHbY z;6F6N@AHmuJ=owAcc>|T5B=qJGurs`uj3aVetxExyXO8qp~^|6SE1CTh!W)HT&Qw} zRcdGivD{RrM|n_t<3UV>fN&5$gtipnCeaC@QAzZ5SamzYX)81IMcAKO@mc&XLv=o= zhzbjQGP%v@>QCacU3{PS_OJHDJoh!zx6hyLjsC_;5BCwp1-XFna|h0NxgQrHq|S>6 zvw1lcN>qv{L3O1yd{@Ukw zJ=kdD*80e-ecW8JTb~%6#rJu4aPn~j@;S!w^ynFR92r<>-EM*btp=O)xdK>7@dTzG#nRdi4zipg2Fe-5w8z@)c)V^cU+Cr()-Gx-E=uRtYzvmZ zZW`3A6lISc%bmw?Z5e*P*9^9g$xu6vcR3>#z(C46*c@SoX0Wzh6zv>F_^ibaY~L0$ z&=*jpqfejFA*X+BY~A8FaM-OmhqsNF z`?6!-Y9GS)d5yvS7+?7Q7VcT|>7M;C)^E?5Cv`lUd}=xPItf`w(TQTq(}m#zQ#A-j z9iBSHgL5{FB9P*YawjHuznlzj?lvRb;|e+9J6ARD|cM*Gr@t9v&wUAT-IMw5B=EDHB^>?sbqlRS`j$L)SS<8^r7 zGMckq#6E>s<$K0RRBOhzf=qDzZBaYZ0@B{Kfe|Rh6p(wc%kMHSyNs7T!JMCiflb^) zQT8!%P2My-zx|6c#`>-8<9>`cv3`r+H^H}iv3`r+L&r1%D-`ohnjPuordbAr2Yg}V zAY<{UCt}J2o<`vgAyJ`^L|^5Yru5ke(#m7hYN?HA929}p?;T14c2manxBV5XX*H&> zWHC-AKW6)@?;e_4xQ}S>$yHr{{0?h>mTSQu!S%QI;CD&BPI~J+pa=^n6e94yeOkN< zr6wxKLJ6v~X#^3f8ZCIv6}?nyXaup`v=qYDsKB`p6b|Bt(3T?HBsw89D7*+N(N}ui zwojchiIPL^`ehR;_ZcGX5aFgu`SNGa;vLpo%~sObxC2W9pS}M!V;}v8cJP1w2RQmT zuKxPgx{)iN$Ew0da1r{|KQ{Ofc>1|R@r^SJo1j>@5hAL*EDx$W;l5L$)I=qGD;%M{ z-}}Mq*1~y05I=+oX4%8QGlGUU?rDr2j^44-AC8_^WB!BLdFOc5+>Q0yQU96YXU1FW z&pu~9cuui?YiH{&<}&L~00Zv94`q@hZfmD02WRDAbJnT$HR64v{UeTtXH0)W&<*(D zxPsq6!-%|M_|2dCR{Ge_vTO{LFa8~*Rb5S`m2atbg8$P{a8XVhu-B8_eH{8lcUAZW zUMoBJrcNIZl*V75uw+!(yB24$v%z%s_YJ?LIpqbJ|_8f@@~ejI@NI z(~2Sb&JpgSc=H{Y*}ese5n3!hH)FZ>EvtDBZl=$h(*1(}C3bGXQFq;S*|*&&bME0} zEtkwuEKK~;GUdTp6E1{r**`Ug${=>$lJMKZ%8f z$s-tiQ^lqN_k&AMV?W-bHa3b#1PF2L3{SDe!?5`HZbtFoaAAZy$^A|ARaTVJcOytE zk3eQA-%da&&UB&SeXnB7HP^>}c-npJ#)Ujhp1|7DzkIjZ{{5XF!8+I-xWm|Ah`Ibv z@tt;Fe|zr-e!RIgY37IdF?s%ixrFuG;%S@m1HHu^@c$4+ zDZlb|V_w~P+rZrQlDTmQ^8LQWWnlO{bi>abShsWBw|Q?~mPuB1!u={?%Y#axJV+5G z$jSLwsPcQK5yW!S2wQujCMH5aIEWuY+l9;0eFp!Kk@QYpG|!kzlDvLF?FMF9vz&=qU~>??#`}YM0*$rP;%#>;-#K`)uyf;>uOw7TbIv? zgd98VTSELLfuvVia>95Qm5eQb))*;v_=_JGj0j(YWz!7Lu>rFj{76)Jv%Gr7Q{eL^ zGmyZ5^^64sbJqw`UaDJfo)f|FictK$0BSnOIg$&us5tK=dvb z)BvJ{w~Hk;fIw>iNWQi1Ld@A+l1mEW4IzL6DLT}I_ybak@Isa%=r~b+vs{8p5_d5= zLubTU7i@wuxd)2!iq4PBo;P1=&>8|Oj z%uO+E&6Qd81I=iy+tM8a^)qd<({6$tq4z=wEdU!rgLIWmdpnh*OpiQJND?2eXgwq zo=_o=%{?SL5!`3f$Z}PmCgg-jDBw5JEJ9t9a1{wc zQ7^HvBe5<|-U=M5v=D~@7K;lz{t35pmsjkst??O06Zb%`0%Rm_@kMmg!c9QZ{q9`r z^*?F+N%G^$qu;CJNuCz?O60+nqpSBE?Au?! zjXKZ0JT2~MtJA8MS+?o4D8G1|7JLYIs&xw)zb5$Zx3HbnG|Rj5=pAk6_W`lV17YW+ zJ6D})?#l@5E*~1Jl)>^$IySk1L(3!y<|M2;8K&mgY22x!V?)gpCKZ8TnuJfTjjlwf z7Nffo<8bhVD!7-W6!&frN-zc;Wb0t7UQz3dk*_!xCmeB{PI?@0Bp3@yc4scE*e10> zITfZwsFh3$I|i3=o>4dgBgtN`Vzc7*(nK;w+sNH}F5GIYyaQeBmyaNO57Pp&Ml(yZ z^)4bX=@>?In!E6J2ZyS-Q*~qybLRR-5~Y#2YN$5gs=3{)w!U+{CMQne`#krXbm1#m zwIk!bgNjCn6-UmV(xmDptVPjSmOJcm+%Szhtfp`y${tlCvGUwdVpoiiVOd)E;nD~t zQkE#$RHBHN2nInFN{x7ivVy_iWd--&@=afODI>n|7`%HNsvQXG24I{w1(tc^PtB(f zt=-y|Pc5mr3Gct%yb-sIm9?b2k1Xs91x6e$P9C)krAm;XsuU`{sbC3Nm6DkpTC0u#^H$XQLM}XIlI| z;RGEP3N0B$IJjNQP;z2ODO4Mku8`G?P9>1#s_;j-Q=)MvL_z_-k!BIL4rB2xM`-2&d;mJF@xV7601(2I#vz>8}nct?yT(EiGW5_brmunrrZhBO2>Iu z%@ZSetAsHvJ!k}%lc#aeSQda*T((A}Y$iTiU)2!^f0tw>vi?Z175qG)g}9ilm;7`~ z*)2*ulmu@#=F`8}Pmq>DA4nu`^r(N?$_&y1w*xhO;oVVKnXZs@&5SE~`DP~EV zCO9Y(MUhzf8B>YLQL1pGR@dX%r$c#r0I<#Iun7LZ?7R5>gu7mzO<%Wy{Vm4A!}DMR ztUK%>^R6csTkAi0kC;P$aWr{+WiWqh%`<@m;!TuM73h{bJaLrhR03J9Mi|1<7!nHkjWml;m$sWFloFNdu^>oeI0P&uUd0F# z+Oo6=OeJr%62opVZ-LW{4yIsX471-IK86?C=Vsgbd?3B>o(29uQC1sbC3Nm6D2BY)UJo+ zy|*&VH4+{tRODwnS6u{bFmGM=8C!46-=^%(ty6d|JWeP&=ZXPs%`4swhMpGpS$0n1 zoeFCOCc(|@x~vket8GV%3RNfVS(*>COd9NO-{88=0x9%g+-NeF3SXDW08g>Wk$?z0 zVETs*ymTD5$Z#Gk#i5X2h=To8;DC6oh3=kEcTcQdb4EKF>~F`62_I|BN&h^MUimn| ziA$ly>}1+vc>uYq<}29V!hJif;>BFnd@%sb>#`YL%@H^n&f76M+=r5nxR;K)h*|w+ zAiZvJed-{*f=w=1@%^{oIVfiJ6gu5?d!~)~+k#Zaxh@;S{ldVt@n2ZY1Pgl#iv=gO zZ8LVlQi?=TBvyXfRARD&5^mJ$dOU0WO(3_}$ql39r5r=G#I|55&Hnr^VkfUYK1UY7 z=I;(nE5%~w0lUHtRH5DeyN>C8^3^T*>7|bO)fe&0+3@`B%l|L{JevorHj8Cki>%}} zNw`9=J=sx;P&7!9SQE+HsByw@-d1agx(Mr&yS)0)#}45R1r)e+4Cn3O_!b&DT43GL zKa_NzOxwv%-kK~w|H8@j^Iyk%86eUTiUh~Eka2>!UpPKMg1#s_;j-Q=)MvL_z_-k!BIV<_Ju@U2{+)LqtlZ37HE-AZ z68qK@OPw#IXYupI%YNG6WzMdxjrnp!e@na>HASF|bd$h7FHiq2kOJf7EzSYTejuZW zd9Xrs@Smp<&34#}jGetf9UG!f(5ChV5J(+Kl7 zF@n?Srjz3VVY$_r%_lGnyCo$6J6_=iqsu>`DhLY7T4GbVpPR+|ICneDKuoZIpykVnOPA2Yj&f8o@sd2`kH7gwkSxssu&qLYKr{b79c8h zsqLjpK6Gdbwae;r<1Q>bB*N6MyC3W(wB-tPEnAcCOWOpz;{TwQN4e)C#h1{?Fa6?9 zksXZ;B_~MzS8Vg9VnnE4DJjeP`auS%PwvaGoW-KJ z-Ojuc%N7>H!Qlxkr3efC1pP=Xnj?)E8TDhdq)^HreCs!Ft3m|}ie=q~7@Aez2kUr< z%@#KbZ?#hMEPM%`x`DI-a7vn;iC)Rm(=fQeA1P+c9Ec=paQQl`x3qFWD&AJ7RPe_30nq5&aZ@uX1 z&HnvD$9|s zV8>)7S{+;k^H%Z8Z3o&)h|+98W@PToCVwwrk{mla5iL{08D@MvuZ82;b zjB|^UQYrkqgBKl4!NTgh=OQ2aIT+84NL1c3&6N;&75Ldc42^%eW1jx^=gh62TCyv@ z0RG19m>?``8I!jHnEGaEWGFc?q!cQ>skE1nRVf*bIR`$V5r(idhJ-?XBh4bzB?(uN zAQTN!B-T#zmah+2I>EqCE^pnz(SHvhi}vWmj1#u!E#4}6gE2qf$4??#NY$Uj_jKO= zxdnUSIZ2;@!`UOh5qaAacv9aijSM9xhLl2AdfvuVbpOgsbev%DJ@f%Z%qI+l2U~go z>1LPuOIwL~`-!FVPn!ETddbUP?vgMYg+%1-0B^Q+HAY~jA3Lffk}`MQf`6?p zR%Rubx2+Az&FJclKsaw@tjhDf`q^z;e&s!>l`&*Or4`i2Gr4rTW&Hrq~Y|Y=s=XnpBcbj!Q&ufpY z|H-ke;|=F+2{+pqjexi!w`K>yh+8bKr(kO+cPi5*EC^C0R-PY9yo!+#KRljIcpl6? z`?8LEVU0`1aNhRXn;)r(lRfy$tv0?(VirHUB>D?~arE4;;(6Zm^nYf|aaK2+w|(S2 zs5X?13?(OqltT3}7qV*2DmhdFS+00O8BG&%LL?OM8)+7yE=jnG1fgh;Of%mxfEZFTz=V{j14nWm_;Bi0`ukqdM~@nqd{rRE}8b3_u@OJ=%Uhl zQQ%6+nb9l)lZ^mgIMZsi@oU#^VOyHr7|S#61MIqa=H;_yBgo3w-TK=@W^oH2 z3t2s-E&IWCFZrXAKiim01cWd}Cu6z8j$!-!P9{RGmpHi zc4$EoNKlafM?KrdP@61v7GY#?_c+y>Na0W(C#$8AjT_FJfA^0}+TFtEct4AoiRFuG z&mWm{uM_D>6Ii7@v5BytHk6GFB_~L5R4G(CJZwaStl^T;Y=D5J6yj8qCggYt;6eev zk!BIB5Q=(<*B)UkTZlkfiB}uLGBCd19jNW26)+2QzCWglg?+&Sm$ik1Lmqk^ zo~$X_gA6bAz^y;N5B@T41pEHftbO*>=?9iyFjl{@o-XBnST87YMI;#M(TorLr?i4ZRwwL^MQ|gvjwSIWfuT5sVb|f8O8zqiJ_Z3O3H#mLr?t!*D2l51!Wga)0NVhRK^3JHGZ4uL2 zTLF+=G|8I?=rWlnS2tG41RK`Qegki}#XCP7cWXJ*pJv@A;1Qs~PRf2we95Hq?8_m|<$+XRU58PD_!IP4M*VxRR?lv`*t5 zFmA^Z(tT+bwtTuf1-i6E#- zX2rTsxzrM`E5w$B1Pb-)kukuRU67i zhLRIQN}Rk0L70$lt5T_;#$1xm(^e zkBJE1rdqcM`&WxdB}WB4V>=OzuUwJ#{fY{|f5KNV-p5jWS6cXAxth^68iAz9l(_oa zf!O9?v)Ib9R=JOvi6_LEJJ?CSF|Jbzo$oU+U;Vqv$$*m@z!i^2XObM7R*hkgY2-+pNQ zXOO)y1ihUeIpL!^314u=__$LU)NKNUJQKpOx@=;L#hs%VH{}kGXyHaUB9sC}V&xg7 zRH+yl@x$X8mA%A7X+{TAs7{w3{gt`2Yv($c2TNK}Y14&PZJf%9yCnUDF^|7^&M4lW zU>AP)Jk8-RKz+1%h5Uq{Ka>(hR4PU?=Ni$S5_ByA3V)P4 z1sb=5B$V(QX%?X_Nw|sxp{SP_HRJ-e}#lEXw?(U=QbQO9;2U`sv$r?%ZSFHs-N~+hqeH5rog9I>GH&;kM@=ub5^lCV!NcZE zMgViJZ&@@e7x2#7{A^sDly`2W3soK|Z5#@!ShAB$eyRauMzaX)E&|#@QXM4*I)t}5 ztjVNT`=IGO#Y4cRc5qX=Gcbjf*eQTs{g9Js>M`QPL6(oi$E@(sFY;o@&9kwObRA_y zV*8E`6*xZ%XDa8yb;M*X!RMtmQ*Rz;IQRLyDa0au%%qlg6C-V7hVWE9hrC_ay^`Lb3M$G=CXr@U+&C zX%t3=AZS`BK`=Iy#nLdr5oM2O%1Ep{FO(J;OW{VXuE#U#c&UxrG^0aRs7|wYJY-GR z;yueR;^|xVwZY#A1hs`=S0U`a1}O|+r3%m9uZznUt+~MOmwo!ja&wUP5ssN{RZY2w9Ej*%8fJf(n0>J0%)-d}P5C3iypQi%^&5nk6)s7>KYS zNMkqzEG1r9>ssCA<|B&AUZQFhKG!u%-@9hk zZ?sOdFzL}tGf9ucj#(YuvFbiilzrmIG~Gad%ZCqQNEP?gv=#HW5s5`WsY7KqQYEDJ zTTr5XbXV;DJNV>-pR7s3$3s2Dx#RWagVID~uru4*n+WtmX4Qryz;{W~%7)QxTsx`L z!F+CrvaxeTYBb>zbIgsE@!<%2iL$E|h1#8dZD~Z|T7-;^keP{0I075v;i(%(1$0)x zPjL;;`CGiDBfCTm=wxj5OYxV~IS*peFZs-HO{QU_V-wLF_EqT@=O|`c6_BQih9Q#An zqh{eN#vZeua1$3IUkw1ic98Y8xSm3>0qmDdxx-ot zHz*QCky!cYQHfbGGUA8Fv)11Qn&~5`O*1-73zn2FFFn_}t!r=Sm;?7;SY-8&g!@}l zc@EtYs}jnSc&5Gcc1=I3oWFg=``t*ybYlA30xKO2$2KFC_EHlF$V;f20-+%)LYBV; zAz&%RQ_X0akP{-IfZs^72z6<@SwbmMX>=9@X$*&erNpZk8EvSMl7Ui8f7^@>(}Kly zgV#UQ!Tq;yXdgU)`CIo<(&qyyaEs}>7d#iR7BnmK*rlT z62Rv8mU%g&J&nLb@;0wQr-SRV&RZ}8;3ilfj~FM|P~|NZCaSwj9zpqbvlD+do9;fZ^N0xdPS^Z#O^xLFpY z-wa^i(&~o#F7Rd1v^D_O($on4<67pK0Pt&zBZuNa(uzbUfbAbAbSqf1oykYQI!Kx1 zIDzj@IInLpU0zm!BE|{1WF}v~Ug90NscHA#giD$15bOtX>$NAf9xI}>6~IQ`?i@VA z{!An$0t=yeu;{nAt;h

    zVH}YrVzO&a{T});8y@5JUP)ww11*(**b5;1O_kyw+- z+nD~gzJ(ZekZ?tpKldNaNqloG!F}VcY(72Q-!>iJ#r?MyzdNk`pD&u%J@p(?1Mg4B zZVm{}C%_%SQr|3%3?=7$IB)m%{R!|<=qO_z(N&I!P`5aK-1Zf@is)|xS7v#&)vR=M z@w>NK)BA_Xsw<4>Z|h@b3rxYgblYp|$)8f2czM<&L6F>pX|e7i%Eb^x2> z+pB|=)o30A@-$nDP}EDefg6b>IS`w(V#G1B;g2$pmd#L!Nl-GlC)*4K>X29T6!_5KyX zZ3s}QM1)4V z%m0m}^8>#|vV&R`BSoB)9D44jR~V&0y~9FQGkP8pOR0+45{(=$UGmjUsaj&y`cZ)Q z$>sM%&SKFytoT)qEi8s7*%Mew5f=Ih`jJ>PM;b9Q>c?nFp_D=R)^FZcg$foF%ev)^ z4yvzN^?k68cOuCI3vae#F_g;`S*X@B2z(Z=CU0&Qs5i$rh^-j6G&}4uTPVSTCryI( zyQ4AprCg=Gwu0z86>wKHJ5}C+UT{8v-RKS}I8Ny85V#*0gTB@>X?I8g?3WCG+1^Xq zMcww&?TT%lYj#D!y!E21mmADmuXe_?buz)cty3D5qyNGx$cc#Sarcd#h;>ve@JhHGT77tCA5`&pXN0jXGWvzA71D*+U+8F7EY zLZ^C_sL-lbVM&j)Z3as}gy!1eyj^mF+px;YZ#Pmh7|LMYuEK@pn1k75wosx(ZDS}o zLBe|hltT4QT^R9*Q29!yVBSVouw~rC3sT<37?HO@@T_Y(FGyA-m(9P49?sh!aI-)# zZ<|$ToXZx0$>pu#3lnkCzi#Ac_NtJrKnleD?f}e06TH=K$*9mmOn>X-cD4#Qef7-W z?kqg}L~9~>E3Ay-d0z7#WEH+)Zdnk~--?qfS6T0hvmiEj7PN`ELGC@raSHASGZr8I zb+WVm)`ekrD;G*eyfR9v9}-4cio~KhkaFc8>UcaeF?rjJ4%33ALzn;b)SB9lV|@GM zk~z%kN93(mht9{1=`m-u4CUM{X&c`qX>H(su+IN|)Yw-MI3{m}g0-O>X5gOQZG%-4 z(cdby>Z2lL)tXgus06ZHrC3JOgq#ow1^h;uMW{;>t|CDw8l*_9Ja2o%tB+w3nC84q zCzH3X`oI49SD7!o9}V%utsU1M?TB%LyWvrrtAP8#^u?Ec!06XeiF^JeZ3$$f?!TpA zeX}$&l$>iOZ(U`t&{ZcYZ{sS54?!FA$VZKw;5nTXk++#(k5Y-?nOx})Y%?d7W1SXib^Tz0Lo+<_uk+=DIbD-n4Y_Z?XHV%EO zJaxE&aq_l+ivAxsCSJIKe7H-t)dOVAoPiRITHv5k2lQT~} z1_$20WCVR;5$y-awVkSLuPj!Jo; zW&RCU!NsX^bVAn>fB~#9grzYg6!IHs7NPDnM_N~7SD0)qA!i>sWZr(_(X90v<_anZ z^)hS{lC{rs{lQlwX>Y~+?IX(4nD09{xoNHPBX(NwGu+D=0fjM3gX6TSQ=n3nG~boP z^!SYMhU;9|!L3&XcfCi<8STReT$YAeLB;VI9b5ls_bMjqp)4@vhI&_WL{Rst}49N zM3Pc=sl_fbyZ<(%XF~$nWea}HKu_GphZ_2sLNISM2)qk{?D_ZxfNn$itazdk*iA+c zIU?NduVRJy+ZN_;T>%S2R84TEd`axIyI8EfU(6BA-U0SUyu|D4NUZ!)T#3gXNVrj}+o7l>d&DyqdPz`|W^||u7WZ9}$MI}; z|6};LBksR-)%W6(saPs;I-cEsJD9(v!<|=tZtmR1%A9GJ^S4y?62btihHx}8l$;OKYGP`=MKf?Z4X+NycHBwtB?C{+kgC`Iiz3j8Tn28+6$3B zrMzU}k5GbsjU2R%3?(N>yzz}fh)OEls2CY78A(s5SS<TYue@z|HN&XwRq`fvu&} z#(d;xYED#7{#B`Q_iixf@ICY|-1xFNv)O&*0#|^`O1TK-oySNsGy(0k06e{Nz6+Z-ZGfYF9>!hDtbJJ4_trFvaX}VdJ)Dx!Axb z)_Nia^9XH78uKVu*`18s@=@aMH^?OD&m@Uw;k_gdOJ+2=2qfy>_;DJe+=DJx_!_z> z@@E$FPuN_MFffxRP*T4oVkGc+ryZ@8|6bVkV^c6bnBA*&fZkGZ8(eVULH zBB6laNV5oaNy1em2t|Vwi4~u>e6?1?5tY(di0WI2VFw9UK+%hz$BF!x%st6lPvsK| zF}?0^-X1Qn5;)wk1peA5@VfNRsd`J{P(Mg zpKXlb1^MO+#vD5{45%SBW1$AJTuq$zIB)F4D|7_{#+=D(s@0@sIlIbvS6idxFDf<4 z4a(!)j&>QpJDlfEF{Eg+PMLx%H6NBFzu-QEmO7=`XxR#@)-v(l8 zc*EtBNZB6dEW&9U1m2YZkJK4eBe3scvMmF(>h92<#r*9S@4sEl-=8qVYuJ>A^0HXu z;tlA)AHG#@`uxo{J-ciUZ-geCscf@uAO9jQ;wUr@4UKPg9K8w2+KJ#G&xAm%44c?u zLH95ij8&&g$PH2?R-RExyt31))%AE5bcP+>FnZl{=vnpOcD-p^&fntw3Hit&fZhea z7_?T5ln$@qIdu7}=hW!gSGB(W8wuh9X3K%Gdh((maF8n2+Sa=MMH&6D5}B;`3djuQ%V$3sbUFPP3V~t1T3XS8beqbB!CNr z{6?BZs5^1u#rVFWm5?`RK81{GAFoYlJu;uPPTY}lULj1qCJ|dOx~sRIH>VzMo131z z*GP8(z3APK1m~Rjex~Wsyi2(0;RleXTDO4htCf8W6?1XLNC6bl>53EduTpaiolDz2 zdBaX_sw7iIpMmec2;ls=Evq=_*&TJE{!w)1TL$OG>#)N^p@(Hg`zr#wZ|X7YgG(JR ziI-bpsv9t%$c6`rPEjlB!#l;;jomkHR!9%7;XXzVNVJ9DBDNhK!;1NKHcqhI8*|8j ztF8crtp@;h$sV&pyR>#Ks4rSv1@Wyic71o%1rDz7u<9D&e1QAC%wp_slhmZqsi;7R zNODTeXm=5~?EP)XT?q-4e9Yf=%zKR{n7?&>Qm|uEUj{M~BC8lFuYJ(GseSQqM=^if zO`a|ddN%mA)oGEN37i&q1n#8zTcIt2G13-`i`nq}juDnd*<-jPvGNpGVskQHxKXR? z@r*iN;!mthK%vwK`Y?m{-&(vs!F+nj@ZI2N7y>T|YP&s7wqhiC66fukFCH{sy|`db zoyM(Lzwx79$c$+LE8HA$xbUf#p;QSHPAE#D`ltw5&FJ~DY$?UI-d=r{`^kbW6!9Br z7NIVkZ&SjW%4R`uq(8?@w6M%^xu4cb7XGtItXp+j^UYo>hz#ancB%@p?V+#JnrS zXhvr!L6)nE*)qoDgh(jhH_|L(40yR8#JNhzn&0u(jNv2cQ%jgn;QhBJe!eKnIJ;S| zeyX#Gr+SYZdGO`>ME4PMMDI4|BLMT0QH10*C?Z#Uoe#e{RE4%Xu0v8t+px%pgqU>n zxF61W+l9{K_!bQiI4HnL8*NNt5xLUM(1cL(6rHSVoajkP6A|xvG)-` zPw1`MK$U8P#g9 zLt!Up=z2TC8@o2T2~zf}rmtN}xx<7TQ=!mzNm$Dn?QsMol((3Aila}u_usx3!gzne zYMf3`%FW-}hf}lg`Nd9l zEPXrXZ##e0SABTiyzzH&QTD1IiRsN-JD$H)4Fu#RxU6)oJ}N?1Z7V1_R03J97#_w+ zu?*0-6C$C2-$=6vb!od~EXV!4xcv zVe6hdZ#Dg2wC30ob0;m@BW4n}S8cfCIacX#=ZU4ZUHz+$Ie+^Bv-;Mzo$U6W)rbiN z;K+8YK{&vH!viBloRlgy5RjK3;{@xYB4Ah0OS7dC1T26#fQ>^^XNiLmRurSt51Kx%O7i{i}AhagbOek?$Nk*bCI?Z%wK|K*Pz z!ww;}u!rpil7{k_;$VMU99=PBGnpwx;Ke{QMPHYd$;2npxFElFO#%cAIh4ad^JuE> z8)mXH&CEF+2nfdsGrBAha9LDq>97fnZ{OfPYEr9=!aRY5eB3vFCL1(r$zZvMj+R|@ zRmct!Q(JtQh|61yALfz4t%G^@Ue&4W@ihxOBnjs2z`0Qq-O-|1$rK_GF%OpKjL&#J z;yl?y9`Y_($ZbTK-=nxDy%QB35d)aR2Qh z=5N!|e&ZzhfH~8;{pF%~|84L5(-=1Udc0Aj@k+w;VD6wC4|G3D z3!P#l*-RFTs~3AInl}X?FHk1CwGaYxAd&)Uu@Z<%bts$vf zkGYbHysVzWN1#4{HpWY#Ki6H5ccyor&K~cm$W3lXWBS|4ncEX2x$^T?!t-F^v@L?4 zta57|i<_3!h|_tY>iNGohbPZl_!iU!2%W}pp>Evc(E!W(*&c52y3C_{g|Orb@zdw# zq^`?&d!hT?!Dnn|JlubaV@KL2@g9C6h&x64v9x55d+@ZwYM~CodiaMO zlNYo(_Qv>7R=k@0L{_)g=t&G0#mV6&91;B3kE~srA>s(@`84# z3hgSE8=bOJxk#dLCkQNfr6~lr`Bjc|M;S_oosnRFt3%$5RK7b>QggjdORPfa&rtQr z^OLw!4rJ(uP_`MXUW7X1sb(6UwZ3L7H-Mx>tM{)6M?-*|QZNNB`OslnXrCx6SnPrt za~C$4>0#>Qbukbl6#Arrm zEkTy6qIr}%W%aq^rAxlLDOF3XT0aW#KDqp!$XP7z*ZEgsV+)JnB+>~ir3efCgn6Gx zESe*Y7#a0rw4_kVAbe|hQ>j7)3yNjkaz@9z679O>gDiOfc7laB+p!F=N)Z|OQ35n= zoJ~jk8p48BbBvoH%kn-3@68T-tB{2fNS-t)?KEw4M`J!zw`R}EP&NVYs+^s`<)q*^ zf!*k|s5dQO%f4iX6dd2;JiVv1i@NQp-^0dvuGtj@^S0xIS06LXvtf};Liw+9`pe3j z*V4>#K+Wu6-r{t!JK86S=c-W&YW>KGB0=gxA`(k-FkWUeyOjHNy4DC;3|AXcmOI7Z zDwwy5w<0v714#*&nYA?HzAg7)xR%WFK`$}OY!Mu&FiG?5=MfeCK zuXz~2NstBB>wrr{w!^W_7*rc0R4WtA+ghzz;pL6M#PXJI3s+rZ=FlbF%I)0{dnELa z=WYfbJ}k{+!Y0dnfpgJkIe~_iG?a&nBl9-KiLkMm#Ev4+F%!$%#M})R-h;(`Pv^aO zNqCrSRfMU^v)O=fKbXZ^NhN)7uJhR0$F2GB)0`8VCPn0J)yHW%GevA6b`f#Qo#r71 zxj~A=%7drGs~^V{ez;t)lq*Wka|cSTsJw+>`N`)h7EpvxaM|8;x4GCy-Y()@bjLg2 zvX+bhxlyfAO2J5pMI);g1?#vUtn>JbPxT+$Jdnz#7fernG?N0;PeL~QsybZsk|PYx z4J($2@og@+-C<*?EnJPD)2xz1C6MK+Xbj~}iN>7}2?hK{nnkEf60RaaC>o?ltTFNy z_i_(HRko0eVMKpB2f=XOns@xyop%2ZuWOq>!YHB0k6>jhExJPSy!v9XZSTG3yx#TK z*#%qs)60pKXK`duEI^4+pf;3^3?(OgT{e`0LWPQv(UOt$go?$o*Lh19u2@=#$lJ)u zZM~EnTfCH*_5Kn*NE)fsEXKpq9zAiZS+u{9taI<^*+*JE ziNM72b^~5~9>4ak@Ln)?KUj=g%YGpN`>Xi0DZ4cH`>Jr#KR?;BtdXRV6JpHrHWZ=D z#rGx0#PYV)(hgq2nN9Yt##ZfEtzh|!lm&aScrNFYxJ`it?!?UhKC;8i;m7RsV}uHs zUFEG5D6{`ljlkr7cYvE~w`EsM66-Qvh~OvuoR(a`Sh;fq(*pQ!-i6nW`Biq!-BsT1 z+WHJ2E&}2HHUh^@3T)ioG3nMudi%ww0cahp8^#VZES~w1%=IrCTDR(|?aLR5^5_~G#^V*ZwQ ziw{+yf!FruQn;>Z_On< z&r5$oln5md%67%!B8!`2yt{cYDa`s}j)@XFSDz;2gh(jRTm{CbS%kVI;VKe@qCtwp znnd1q=41NXp*U!@Jx~{6edsds_H1|U$J^$wadt<3*qHP>R)2gKxwgh<+Ry%#F(1=c zr{>f6Fi`JVb2A$}NJ5F)P&P6YoPI>!&Qs7GJ;IHOkgM^JFVv7L*34|ztBw)3XTX>WLWwf_xHA@&*<_*iMq?D03(B08E(%OJy~~{m(axQn zkOMQAJ&Hg}Ev}pJk-R(wY>XdL2=$AN?f;d(y&Y=tP)N0X664Bq+eI$-etB43Zo}7@ zJ>TzTp-}h-phMamb#8G|Me<%TtZ9eT>o>cmE9|!+>Au*IN@@;=fi*{)N`e(X-_?2y zM`tv(2-qngYwz~Xih1C}*7V*K&a7q!DwlJD`)~X99T zKmJCt_SEk!wvx}>*jkUuT!lIX`M)$GFk*`;Sl)ko*PqIvkNr2_V_L62VACGHr-Ki# z2M8EKkr9UrziJsul^`J+N}>9w2wBbOR03J9>eGZAF9BRA;5X7NLS2$@6$wI7FY&rL z5-UD$Jx3H{=cN^J>su&S6{^$aJHDRU{(qQD%x7-gbm>^+2nA}XXL?TX9J##M5^ex% z@B#O?FC8+=7tKL^5I<<#cT+0zY)k2rmn@#n6lOT>(Ay(1-CPLR--vb>2puamZ4* zYNY6=`nVMPGViPDL4=Fz>G*#}`z8YU$$#?x@T@kV_!$Pt&S#ZgaDX$kH9xQB%eY$$ zZ%?$&STEQSY4*}l2XYc?r)J$QRtyDqI6B9B+~7cx?WwS2yDbL2o*1_8>8nS(Zf#;xb+rKO9EWHDj8EX7b^beyTN~U zT!kRwH6aku)|WR9GR81f?#wPL``IVMtEc@Mx3#8hq)1Sbo^F{<^99piJkv6VlW=!e z96CmhjQ|D;XwOUTtl*WHF0-uLbj^&19&VEH@OCX7_m&NqQN8`p@#Jy5m!tQlNUPE) zSF{c1Z^b-((wOJ2vz@cc=048ft~Bc93|k1a?k~0){_h~(g>Mar(=N7?G9UzEW!P6* zEN=M2&PKVz!&A5sUEfHoylYlsSB#AK;qi>hL25>as!*LSf9@M5wU5uOq@TI*{I*Lh ziqz9m5vSg;5nEJ&^SAo^b80ruEhMl1jRhmUA2t2Cyz2FkdFcxO|8FRzG6TwH#=+F{s!ezbq4N0DQ+nkyI%#BAoNCU~fc1pH~ihQY5A!XexwVu4= zsQKd;+sWqV7g|65JGh+u^fTrN{Rxsl!rdxSVin<_YGaK1eX;|j+HR~_nSK+wA}3s^>S=P zmz?~bj;8C0X~s zTe#6?-m5qA9U~WF-+7(|V!7t7m&D60m;x7(xovYDOE^1Ra2o&HjI-G&P%=g`JGCXR zVI$$&A@`2#-kIJ{mPZ_|yX zfz*`EiuP9o+$ECVrB<7rET_QM1V0a;M|5QK|DJ#7IsN@Py4s`p2ie;YRwD*?riacE z5LA!V8EX~+MnKJNSoiq8=EN*@ilL5>7eyn_tQ8{xOLc;8@f$XH+_JrvnlvpekbC(_ zF2BkBZ*gy%q$WQ8{tycKB05d|0Wtbx*t7F|dt<~9l%|BM5AdUUO(gD{sUMizXg`Us z0pNS+t&OMg$Zg0e+k`iXa()S556Mo-wh)M?E=JR0X_(*$pWUbnip0trPpQIO5pLA# zdOV|!mv|>bGdh^ZZos_*8@D9pxl_hG_+8AmqFJrW#gTq=o24%HJ1-#PSzc?zzJ1P^ zn_lRcfBNo)^GEJEU^b8A{@bjoRBE6;%7uUR;Q+Ump-wK|1v}4ZxR%*U<-;JI2J#SN(TXX@cM=VMc z2y(kNl#L7}CrF5fQm8&ELRPI=C5K8N%T*C4IRI6sq$#8a2x5+qgc~L6iuIvQ-2s|6HU|Q)Nri)%s zKZLOt-X$8q8)kRQMyS_XH1O_ky!D0J9r(zNT`C&&`KH85zoNvJ%eTAN88Cm zcXp2U@0uI52i3eJ;Ev?&a42>C-g^$+_Q2=P%dh?Rf=PdC`S59ZCJo}^)w_Hi4K(6# zFmI{lC;Yyrl&FnLSIDY0tK{UqTW*guhOjh-ghGBJ%_7D)9wDw`QZ+qq^%m~F!*srW zz?%M2vT5#LTPdWK?c%4j6%xYt%go&U#+uJBwyy7f$%x+FI_3wRHn&LqY1^3|zDy5# z-yr9`dc8mFJ-BpCXVLQb$7r-u-dD{6FG*@Ed=3DFC3&x8kgsm&mF@Sz?apU~DEE*x z#YcENLJcfwC@+iiYF+v1Th{0b+>l^$8NbAGidy%EYA)rrmg!d(ZQp3|C7~sCv8CK2 zrn@oHjUpEL`ULK~Vu}PmP1Q1I39$o`u+!P09G2UG^_j}#BG8bxI%Gl6F^VzgEXrEm z9vT7_stCIaF6BEC@(jh7(5<#{gJeH7>*+a^Zg6l}QL4a|J4yKBt&|;iW7gA-8?il* z*KJRI+wDFqn18m(H*#o#gyQ|T1A(o;CzWo&)bBuguqDI4;t6GhQw(cZ{V=4W2Dse; z+?ZPdr(YTqZYI7r5wHzri}PgbX{6J0-_tT%t2mc;lA;`H;k?B~*LL_;+m{}u~f9w9EO@Roa#*T3)=Hu>idzSSK0tp(Ft<#aK`{M0f>gc7x(Y-IGv ziTDl_&Mct%s0dlLW|bT&fh<={|DyT>G;%^D6!05q7NIUlxQYa!XpkbYCX%<32h!uz z${?mAo3PdKT+4KKx_GQL zZ_Yfmbns;Iou9x}6^N-2Gft@KI?MYZHm^79Cq9H%E8V|vCi&LK&0P0O$66o0`xv2v zq(O-Wfu+E~HXGkI1n#mH%S5cTvO90hY4kzv<}io4{H;I=+=BDu$oLkj#q&LmZ{=W0tg#T*xMiIWSAr#p}4oYM7sGM|up_9*6Wm*(E_Nm>5=Ig@rDH_1Gvi^nD%L!%YW+cD7g zv8d2D#-6=`#ezN|8@nra*dW3U*F4+^Q6yI0uPNawMn?SbcouO}pbs6UKZyxd^O@_6 z^8VYC56@Zm8yLr-`prNJ;JVDcYgF;ST~=WOFJvgHU^#!g{`>f~R~96}{H?+JZ)*k@ zDBDiLg4$3vG8CMCC*U-$%UTp{h`EqeYgWn04^PYO`ZOUYL_z_-k!BIB5Q+vV z5^J}4t7WEyJSqi8JcH(MJZoC=@K3bNg}Vgzde2GO9wzv^1JqrD(}B{+(EAf?^7f_E zde`R!Zx(sknf6=pnV#M=X_AdcsMJh+M6;n;8W~EC4d?B;6D%FBL`8^EDH%ym2v|yu zFodNsBoy)+X%?aGl}zHCXYF@I>D?yj*m<+OwS@b&wh|XlHc8BUg0q|-fQ1)Y-Ux}3 z)t^Np-+!=ezJ0g(boY^-N$y6bOCj6*f3ukt;Dqxw05D@uKLVfJwFxA?;Bm+`c7p9i zb73%ls}b|Jb>=aFK;l}sk&!icpOg7K%!qq`dQm>%|2F3LvI*Oq!HpdOp6qr{PZkhj zT3T#J{a!W@F1AYjq8nbq1Y4I}!K>}~KRZQcTa9ZRPCKLH;ru+ONB z;3(>)+rW*)k{oErNT*hJIqSFBl=%fus~lYA7|s8VgH@@5P?j$Z9UfpGM`?v&@#^v5 zd;(AA5F8omX@QkHjQ8Z14lBfiN1LFo5UdXe06Z~nhw@fweJJJ?tS-$~O3IiIlqdlQ zi^do*l$;O=PB}^;`XStC#;O;g&UmVshG(s>8OseIDbecvD}vh)AS!jK?WIdTbZ82- zZ^GgZi3Oa)OS4ROFZFRdI0TeJWfk0j0|hq4kb_v8u%Ruq4uAjcVQ~$`|Ba-aQ3lnv zXd^?(p&x$YyLdsR!xbY!R;8o}hmw<{TW*gqgrz~cn@-x}Ujs!+j#Vp+EeW6K9w@&N1v z3vae#b&627P)iy3Q35n=z?bbTnIl_cBS9XpU94GX4j_ud9>`Qh?p>cxY31Kg37j@f9w=1^U`A?TRK6v#p z!}l>-ulg>S>ebCp)(tYjylv2nFWx7Kr}Q`8 z7tSU9m*XS7H{sL@a}nc&0mRr_!EwUai2GAP=vMP^r82~bszD@H-jOIVD@Mq$EG>pj z4Fjp-L`+dHHKRkVhv#`ebldf&^-;_%b?vFn!P0C@-fkZ{tJ%P9VyHsL(kHx+(LDY& z%>49kNZqj{*RId$XBSXoF>2IB2eN==HwPs5t2v{s-^C)*pH132*DBw5J zEJ9t9a1{wc(I7=)?UTGc`V6j?KJ{^9-gswfzWm%`_TpwYs*K57ocN70BxtyvaAV^G z=}LL%J+Wl<7ycu1_t##Qm{0#sr}I0{WY;s4^pYUi>zjpY%`v`a^41%@tDkxP?$?|4 z7w64GTaO+!t%sQq1x4ho6tczt&X2I?A+g(j|A|{$>-JZ$^JKbd*6+v1fA4RK5mOf7&{1~Aj>N6e*7dTWQjbBb%KKnn1_ani^r`$ASuJftjEU1L`C9Cnb@MPBDJ z4gcQNP#!8yWBS_=$c#Uc2=H9IbF$3LW-8Js|1UE5IVmP@F=x6l+(*NZWbYWmG^M+H zVFi=8?v-FR?Y9qM0J2TI*|iAH_PSY#$lLXi1Ff{wEw^SH(~Q9Ob{n;2^KtYjCo4e~ z5rhvR9OsrD2!``Edn$n%r4`Ht%K!pf>~Fz+0QqX&owv`16L;H7A+-WnyHgx~F?m}H zaAg%1)xEO39(Wt!zm(FoN!~IGM^^AlmQ6JIGDPvJgPfMbd0U7M{YU&Fnp?luF18$4fjrIW-CwyyMwdj048Z6Dc&=Xs6!GH#Y4^$)DYk8d*- ztX;}4<^8S8UcQg<5T%XpqQFmNf+cDQj(Zp zPi~MRvGQI?iN|hJxKXR?@r*h_YDR~ud%*U8ea)iadER#aw?E{5L&-%72%?|?aS!$;_lT~yuY3Hp2gT9hJ-=|mgP?27q@E}N=|IvmJiOm z2kOc`O9;`7&SVE!t_pvYJLOTpoe&8H{6?BZs5>K*;sM*0BM0Onp65+k-#xfl&L`vt z)-GZshfbW*gXuc}@!;KP?N6kgc}y4Y3(7NaU-&X}V*CiO*Jzn;)(i4k8m4PL${iog zX$%tHW(XT!y%8_WvqnuDM}=gC{&i%p><5`~_gMsFvi|Ut#Ytj&dv^BA(2s|W*ijKh zUX~Ni0{(h-oj@7)y_x< zYAgJm>^wU3#G>%RvzWid5z_kdKw`GF!wB#!x$mj$zINbg%=s2WJtwBXZ@cgA#b~*! z6FcluWoMXizP;>*AFk(>VNU(F))!Bv)Z66U$=H}KicS~)%0S=1{B7%tKhm+d|F&(u zn9-grGe zL%MdW`z}e=|AxR70wZx!RNs9FyZ`Ug)IK?9I;&sBI}}zh5BAtaaku-19S4TcdTl5h z8A?u&U|lIx8x;|p7yl z&XBo+rM87!*unhdGZ6W~Gv>^NyG(lKIW$Jm9#r>I573<#wx_|+`xuRVa!ESh`-17{ zzr9h@BYzJ+b3B`6jThKrKrED~4P_%k$q5p!3Y9|jQ4z9g%_=!m0$Hw#I4O5ZH17Dw z0$eEIH_|LZ-5Hq{CRSH)>wtXo(KS2&@NMITq-{R1T|v>af|RSy>d#tnB3mc%(dwUS zoB6vRwd90|tvaJCI|6Z6NsV>nIiQ}{V{je;-$PF><|3=im~paZZS?-z94hODUP-W) zY1=O#mshbp_+_J%8{MF5dqA=WHur*W)gc?H`^vRCP zD-Wu16(=M=k-AN~62ZQ0LX|II5)My&6h4r?f-B>%;u|>MT*bZPYqt%4r1il%o?xv= z$bx7~Oz}M(SBm*tO+Rqbm`jH{cKw#*am?TLgF&}N))&Z={6CSr*||fg+ipo*c(Bff zWDL9I4wH}LE5%|MWZ_11eJL1$m1mR^yJBQS*Mk;J0Y5spy{}-F>cfjm7T-f}cfWJs zq`Qyt<o2w9bqk!*l~rPK&RSQUXUWO;`7!$m1Svnd#K{B zUWQw!iUr86cO>oJ{J+4X4tzA>y?FgxVT1;QG;(}vQGI-y$H1#s_;j-Q=)MvL_z_-k!BI< zPMr8Y;mb%2ou5u@W6QREll-RXEq(O|THS9VZ`<$5nq#|A{r*;caZ$R3mN_wJKAQfN zc_-d!)(U~f|9!UUv?!Zio)&r#cdB&@T5l2vCsLE63i5?OUGRBb9B)L`;AISEe#=qqhu2?f~Y(MX`Dw35Qo9)G&O)8oZJJUQSBFx(e znQK5KbX^t^f+HND3U4vAlpbVN^J@kuS0!dU&zv1K(sK}k_b`@+F*z;M&yJ#)V~KFe z)r_vq2$ZSQTzysM2$Bt{d*1MQs~&}8(hEvALmNLU%mHAygFH@%db0J!L!}+#j)S3+ z$!%_{*09f$GcrROiNJX0IU6yrC99c{jj~BQ5!nu%R@Z4Pm_G8pZ#D?i{4fI?Kh&d0 zm{J>=PB?A`RJuPXs@alinO1kN(Y?6#o?vi72BX>%c`HV5U$f?I2amqW{12|<3~LHr zCVuLJ#@u*uQPa-XH^n@EzVCDB{!Zd!mtnExR?J4_K-QpcMLY(7vNA#cqRb(TLP1uB z4P~)#nC4nexx*t`xDj37NUS_Bl-Ly`BYt>1qq3Kl!EHtdQ?MvpUjG+w?dY2xm`l_2 z79;5HEEs<38gm#gTIlG{eb|`Szt-40pPy?bb=AkH7r#H;f%_Q651DcM_$S<~!(#a` zLn`fM9ox6U2t>&*qB2)8B4kxcMzR3{mQo`OVQCBrh5SaEMW{;>t|CDw>Lun}B-Z80 zTY*DG3zeST!#XhRAi>h+J+ps&%9!paZ#V6~?Ib7NX*zUWrcnLbO=32m#@E!pbJUs# ze{aqpZ*}xQy8u!1=znTb;YN@ULZMJ?C>t3{PM<3uAsULzOGlz&sU=+1npJYB1hQQ1 zFmC}aRQDTc7NPFMDQ*I3;%1uxSDINRM+EoGzCwTOR-1h1b#k=#L+!ZHWe*4&52k6m zkCwDQW8SI1XI;zYt(zHeI~m}A%Snp}vT0?kTh5#Y3!y4MYfS!vG7m_4AW@K&E44&?!T=?x$K2<*)8sOgZZHK^0~PXFeTO3fony4(EDw2 zyrs#C;r$D8X1yZTw3C6Q=fT%LMhe*9mg)kQBgUnlZN{tzj>SliC$r7PZOYScz-iWP zTV<}I-G)|^)aFlit6)tLJZo=b2q3==C{70w&1@tp`O*R|W1mQAnqn|8D}DUX4ML#EEb zWDMD=zOC-o?6r*4lwQ7~(FpC#wyOof*iaUW>uuOUD0dh^;YO4_Hc}*3o)=2&ijfgN zJf4My38pPoboXilOPhHxJtlgkQ=}pCmkX0!eu7Xp7 zfTh$3Ls%Lj3jv{!-$=6vb?Ha5gys?h5f%h#42OWF#H){C5twSO6=_h!kowKUgP<0@ z_$gN)ps#tgS@@U#MAH6m&q?ycDYHx?H18z=_iHzq)4K7phfcn0dH!_w9rM~j^*t{t zp-zx2T16;T8_Gt8k`tsvJTdPI^=r*4ISeJpa#b{sa;JE-a+rBzi zma_#Rdi+-t?XTiFvrDftizk*&9t$bt{1o%Ix3P8L!;bE zi4FJl75Sl^b3@zpn~Bty&q^<21iB$xYC{s>D-!BF&&9n)n(9Yl&fO7TRQkgXmw z@loP*8hWf+i%EQcYc_v3zr34XoVdE$6gkTyC~o(Pr1Wd3O6VcMUhxE2U4yoMn?Sb zc=qbQt8bjL^nm!#VH$k%vCkz&9=Ow(fBmmgbLg}8sGA3y?;;$Z{98cX(9qwSw8!~d z{pP|kH-EeO{9K#&IfUlH>VH#%BPGEfZUbwy@{J57M_Bbg!_w5>qGg?6eawXzwPs5t z2v{r)X+lnjgaUpe%_7vL?Pdw3M5THx2+|l10ZWNjF)~ixn!jN)d5BM4H=~0oST=8a zPut+V9~a)gh3SCLK5dSoanG2esEP2vm}~5Blds-n&VAv&8#|Bv;&S_wt9VUwvc38r z9qj^G5+b3%cC7LoU&~OcxbK(!UvT=CrvBDk=LGAcB7|s0rxM6=h1_xeD0fOU?u1Av z;5X7NLftE$>N9GP$T4pV=$T(XWV+v6J<>H>iCJ7-YPtJlwW}S|^7gl;tq-0woK>)v zmYy_e{mtfidtZilA7S0yI6((d7~VqGF=b}7ml2Rjm2@9X|=kGy_jLbh*D%huf=Hm8J4E; zZR9f-k@jX{%@Oe2;1bc_Mr6q*dtAJw2BM0Am(9-VdepX!{(ne;rtvN0Q~X)zv~VAz zp*nOm)8`(Ezo3zr;}(l%#|X9>>997kLJW6Z#_?^_d_p_*fOkXiGyX&(puuqhvnfp3 zkPqt?-m%nk@)#u?UNT=~f7>*Fi%i7dMrux&m*b;)(8D)=3u8>)a;GTde_LNXEV7I3 zV1EnjTDNv#bEZ0W1cG@RKxB6HWck(h^;=7(wfNZUq=ot0Rch(C%v(&$*WVg3hWFoI z#N(itzcuqOMja*4njX2UF<*Z>J=2#LS!j+&;K=-wlEa1-ZbToXkyvg($+IS?Vr0Y* zpJ!vvgJtJvOPg7Wbp)Fi{&)C%2J^T5Uz=+k{^=#lA*d#JXIT57ufH``zxGaOz5l}< z^X>(sTk8iICzX*ZD6Guowd{2u6(pfVZ73TVN={6Fn+ZZ#uvCqVmM~5T$DpCGZLzoj zRv5z47!nHA)=kL?WCKM&!c`;)MS~QHHIcjxj}zc-a~!}FEEnGLyU5$?Q`7zNQ^x%C zr(8p=YZveJFy8(alMXkv68-AyaNjt7tJr#W%~W5bG1BNX=c3L3J`yr(L)pksa+WZz zaq|gH<6Fo$!TP8O*!5;hB?wq7dz!a&{z|5Z>#~UI$*(7E`_0ugo7{Iu7FL%!C!Nw0 z7_++hgr@N=r1}r0=EiR!J=fom^vtu&(=g?SY*=t763p8_!_qXLuoKLEr*oy}?Gw8l z-Tw{FEz(Qn-Ou^IQi} z!b`V-8;K=35YMfn^XY zHZYsvWl?_ zNLs$*%q1bK?xlX32`(WI-eoOb-kheUxkbxR9`&Mvsq>j%wOCZHWhgnvx$@F0jFMk^ zQ^69lDkUQ+4gpK45r(idNSAzdQ>xc{R+uXc^ywwPCvp~x&SCXREL&I%e^@E@Mfip*pBWh~8Oa7%sK%*+7PQ~3#@vThU(29{od`_zQn|*V;P`f> zMk%sLOfSE0yy5=aUL?@iVwQc$4vFIg@BLsrx$GtFqHcTXcEvV3|6NfqZ#zDC^)b!= z&)(aBSyEN!!mFyQd#alrrg~^_KnC_SEwqHhMogT?khptjbuiwfG2k7EKWA`6RaBYXpxY#6l%a$lx3QNvW|=LIG@)LMRR4hOs&q9*KZY5Uf{cdQbd<@2=mW&YmX0S3q=ev^29KdEPH_+<0ZSB4ZzPo%FAO_bISSh*Yf&NTec2;$ z-oo+QNsU|PQ;O@5)t%F2bMh>yTl0>97%yP5osy`d*selKNXUG=gV%4XR8S=u26<<>~D+)ynVw?Y?#q+X~fs~MD(@>1_XBA2&B zGpXXY#nMrt!NpziKJWO&=Sx(phvV{#!v=3II21->%hpc-4d3UzxA6-SUyC?h`zPq% zRt3v`r2ANeQXciFUl`0W?FB=MHd%|~rG*G3#yxjnye;hCqQa!hw~8Suw^${HOaRLr z-Jj>6Vq28P10NU?lowJ=LSBO5uA&f?ygOk6PB(c+8qLFN~<9ZZ!*nx=5Z+)VslMdh)`hE`E7Z;UE*mS zg_u(5&OJe4Np+O{mMR>-P$k~_Yxl_@+;q<*+~m?g)@>c0o^&jA?6)x9zMx?I77p6D zVtz)hz?EEteQ9VJW7Qhv_5b`~W zZI{A2v3y!s4vOBAbKr5(;e~}X-X6rYu+H&>;&|J1`_>FENNNY$e!%p0Yzs>>SNE9p zegs=d|F*cmS~04JSw#zke!s0yT3wPUc%Z?b=tv-D!ZF#MIP}C@+|b1qWY`F%ZSRtu zyEK-k(mOG$cSOG}@85FBqX$RT0+G*eZ$$X?0&fxV@x0KUfCNTjHRY)rxqV}KyaheR z%CMiqY>`pSCTq|sC@&8z-uqc|px-`%ZAI~R&9z&N0?cX%Im$A8e#^N^rGPkFm(vH} zcEj?R9K`(Ltrw+}$l;8k)Jw;2CGOh{FKzTCC*ytISoYcipG7HFW^VQ_VP2|gH6;DE zJl(Xr<&U{z%WW!Yy|@x72+0|3kGkL81@DY6IpRcDv!GmmboD|cOz4*+2N1h zZb2dXnIIPTJ)MK=VvOI)q>GES`2(Wm&&z@XJH<8{8^Vy^(>eI*K*qAVSqPqC74Y!~ z*QX8df4@!}98`hOkg8qQqB)8XN|x4mLdo+oN0@JOLlL>fwwOR*v9{ow+eE`cwhwqAWyZF$GlZ*;RbIojyz3EK%}HhwH(yZh?s^ z3Yv^)T6Q!eNS0Ij%T<~cz8J7Gpx7+79B9U=985u0y&%7r-LpW;0^06xAzab6P`(6X z*9;!t(lJdx#tRlhD|4_pK=@Q9-1D>!5{K^Xo@W+-k5z(NDU~8%x`=3+u2%Ib4SLsQ zcs2wSSQ`0R}YfS${yc6eUwOP-fGZ!0rGB@c{ozmKP6*F)OtV)j6{l!vM>k2wp_kqp*jH2?V@@cu~f{Xhn= z9;2FVQC4-o?Q(-f!eVeoj)>4J12SeVcdi{EZp zg$O0a3;kt&TT-l2GOGZv+^x)S%j>2T+_Y0k^-0wOky{uiFTj1&8~cOWlTC}zX}mCd zMH0&1vGCHF*TCL;qkyg1gPsLofl9&eFf3&d-SmIZO#^wIjAKqCa+U$7ASO1i9=7@0 z38v)R_9JoG-70j7)=cVfIIsW#6Ii;TpUadhCo z=Gf_q1my`f>5}a`DD2GQ;y0YvWKP~r)R}gqoms1PM3s*wtYzJfX1!LsZ0i@OGHG%5 z)-UIQwg%sIp|Ro>Uj-#>G3f7MRzq@cakGG|I&_H9>U=%Q6F1YMh8v&517?KmTk zWWi-+RcN&`_Nq94%V(0Rok*JoWRISU^%E}pcZu15^*EL+tkA7C@X{&HzunU(qnLmD zGK}9MFYP_>w*{7|>b7y@WLXQ~nvq8l?BSPfoCvq)Nx!t|`f%i%?=JK%bH*-ztWvV(DZ8SnhZpcDo5N zJ}@LGFQk}6#NpC?MIkKD3l(Km_uF`>8;H_$O&j|3NeK(cL);q3#NdyD;K36@ zTl>`A=`&k$c)$w2hc1n)UKQDSBqkpVIDT6Y0j*M2eQZZFr&`^@WItUgv zHE-lcN-EXE@nIU{M%m;pn0UD-jYl2a>V#ug9c*>d& z!T773V9?=rM3#b1i@G@waNaSeRO-E8GGv4_iyy_-gPsMdS->umxtqDg!)D6O$I(eF6_k zmJ4y%q1kfTd-O-f0=}qBJR1`JmfnzT$1j|)Pfl|l%u|nO7Ok=3Xkj zVadi?0?hC_3|=8I{Qf?<%Jx~eGTqh#O|+va-dSg-#%m;rehso7o06Mn&x|1}n6luC zA!XAwcXFt#Ka$6{NOa|eQ*$|U7&=R6qyxPP-?|LD%>iO6%sHbLTSt4Ln~w;6&OcEw1TSs+kC9T+9^F zy%-j_G%#t?T$UPkDRswjU1W!@!}!^rA-hdJ5ssmsU}RUn3m&6s!viBB zf=rs^SR%!*2SPZj#4{F8Dxk;~cxAjm_aBTT#4vCZvczk79JnrFhN}46s7MDg zQ-w6ss0!`#gC<`4YA!FtnmmO%`Dz;EGV9#Dj5kSP4ty`D4KqHmr~ z3K8x!AOhzCd={?0&>|~Lq(xhD71HD*yh@{DN1&1Nm28?uGbbaJGk$F5gvx}jYG>Xo|6>Ka{?8PJo+?*{3ny0{W zSbVhBNAUc^FRx7w-#(0HrC>_2L|&d!sGb{a8@x0~=w5MfS%t8m@K5*V>!zy}~8YYsQ#D_=RnVcs^L}qh_DHcD%pzcIwqnS1@d) zLJg@Xj6P?v37vtLQpM(sY?!E29$72OYPz^mHf?E`$mK23%-aaDEt8HCYuTCypZtqR zuK3*9uKHtJ?;K!N%E4@rX@W6d4 z>XyKN!)GIYEf|n*jv|Bt(ZE&?m<~9ffq~ba?|u#dZ}^ zOhR6Q;jW?(l6s+{tm=NdsrZcYDnr)%7NV&DwReOv1CN;wEsTEo548yS;$9sN=@0;y9VOqMab}ih0calxuz^c zC^23L;sqs9u2rldDz{iAhD-p<9qZN|-iYymAwhW|#U$iCUZH8e3hFC{r^?CRp)v*v z)xBdA@#FpDPIhpad)`&fsp;FhHav7f96xr3Y|;mAPRy=;6#28wUNQyP%_H-t;5Xd2 z!#(`U8lSiVaL?>g96iIeaRF(XZA!5nNC>3M^x&AbKr^cQb?gts#sSu+BS(YtYAUxb zFTC5)vu!fe;@VPH=X@4|`OrZzVpa}Tf*L5aoGKS1Ji!gOCJ>r)cmC`^`Qa| z8)?58$LjbFKP1sy0bxhB!VkIrErtl?wpb$@~bIX70&APbN5a5X07_)rDL zCP^sqZ6Tr~IOE2sTthjLVwXXHQ(!XO-aH(V#t(k`t8aT8+HE+PtMn^zyO{AV`}c3Y z_v~*!1hLQE@0TsxB*!4b>2mMzk2}BRt{c{A=9NXte=J2rv*!kr@nwTD@E9-Wm-Siq zHhB?OmJc7ryqeH9>?h;;+$&@uoVn3T?fk_7si1L%Y#)8}1ii~*{C40L8;Sk=Hy*ju z`EC9Ot6%B*6Il^$zoso+kTl=?U%&ZVe+okseox0|kOINSTDGA=3=yo4#pW)M?1(83 zcqKASkfah+l+`q!Qf5aXU3!#gCVT$N$KSnAHL_A;fT#4 z1@RW@wrtv^8IZLHvBN`NdDA;(u=cso(AQ)u=6cg5Jz!!IHLm)v^-H6l71?*eu;}at z(fyNRr+_h(_4S<>gXHAkp8Jma z-!D6}@cU&(DI(u0hNxocWCB?3vhzrJ(2~Xj9~csp7g9_@-s2y(KmG1=e#Z3^^5ZQo z&E+saza{9oYJ*GP?CdFhmu;EnJ(mY8n`xW~N@1lUIfBE}Qz3lt&t>XSI8CL{)@vndHk-voOqIlc%x)llUF|CpXYPWpz z=YDSxtyC6otCZ#D-@^Oi1ybWVSKk=pi5Ebm8!-rhjsfYT+r|*5r;(b^SO<}xY?7_h zb>>8rJ@tX#zw^64gwjRvw%XggSqUA#!@vK`XaDS*kX;;aJLr1{N5ukLcRu}<^(FmV zZ@kU4R*c_nX+6g-qz)Z-@E+R{~Uf>9B(sS(%;rVU}tvk=&&u&IiHYDu?Qc0(Z63WXr-cf`x;bajWVu^EXYi?oFN`VWSA!d=fyVV)Y<-l@7?{b zr=WCEyiGgT3WjAv^6Z^Yhu?wh6~)`yvdil+RI`9~keP>fpE4ho_ir=L>|pFVz^&QT zt^nf!(3Us+?HS4g9g-M>`S4gw$u|9B;hWmL>m;6s8{xIPu^{V+FdOX97+pD=7)Y*fX7fIJ=; z7ZEZ4c1{_BJz(e7P00}M%Z8J`jBg2F5!n+SI!CV9dHy1w)hlo?(HB~b-&(m4rEP!L z<$w4@dAwcZUuG(P=Dq)W{kLI}!tq-u@mv7IO0cn7r#LL@27wg6h#?||3D=%X_@JV! zW<*ko>nNm4j{?nH$87(1-*x}X%HwT`bQF#C6VkdJx(Hv3JQH=t{|x5eR;qCFr#Ff= zZpQkz^FLwCUH8eX2I~ihF@8H?Suw~;{*?1?U$8DxjNh8KUpN>>Q{Vd0osX2q+eMpq zn*(x7Z2X6h|Mu^5JfZk`8Ade#`8GF1l}IOA#Bzta<+uqkJ}@LGFQk}+yadBtMIj{h zLPc4J?YA_%?g_vA=sHil&2J%^9T>yncFx2(f)3nt$5)QKaWcw41*D)xN%>`fzq>kiuw3>rF(A3ktWB!`-l z8T0`xDn5f{J@mwWC2QKX-i#b{B@|2PFUYs>s&DNFKl=8Go_OmoIgi51_| zZ60GU?}%CeUt)Oq)qS3LTc(j|M^50tX70Mcxa$Q_!#Ov(FY(*~>MbJ1>_)=0vu;NR zccG3PY#1Y+dim3jf8=RsT|A!9&b_R3^yWu@=lhCEUTy<@ro@F9{P(@?i*fi zyvjgwU{A zNPQBe9JQU8gE8o-}}s8{z6&*)&zz;Erm0^n#C0&ppSg*hktSR|1OEQ z8tJ0>knAX=R#q&fXQyLYw^sGrY)pw|fRVx~g(zi2*bEaL#W5o+c zDWh0cGoUEtbrjNd)|8w_hTNsFuS_~P4Y^OnC)fAm*U~;zc_CoR2iwEc70JOW)X8uv zL^@eKAZ7-eMriO>Exj`zv_I90N_3k8nYjoBf-51sg`SWDHq= zCu2%Qli)u;75Fj-`$jzSJnN~W+Y&Lb8NiNj2P}9kNuk@C%5&}ktBMA?`<=r=KDeK=(tf4l# zLfIB`4KIEI*YcwIV3x6Dh49Gto;v66%lfx&+SA*>^>b4riuZj!?|HFcZAN^2Y z>G*9@CSJ>sYlpK}{^&>FWB+zT@%-D8(#x*|e{=VS@m%e(;w z1JYt01DQ%-Ab}d74DXY~@3_#M^8?--o_A_zmc)~FHEaYl4rCBrw%HsRO zh7?PzBJ%E!KXLBgmc`piaJAh?b`&B7cCsvr4xfdAu3}p@CS@sL%BPZ`t!`~iofT3- z0$x%b*Rbd8FTQOHTB&G082d{VK`jVlb>}o^T;UHa+<>p$;JVZxf2j?f2*{AOWu`% zf#3etFaHJowqQQk%H;OAR@VYo?f;XD%IAY+S2ka{^%F4+_TKc0C2kIvj|2Dsgc?4I z@1e_E&>?vRL|n7ZY_gaS){572SKz~Cd&6)P>oF#H&I|W_;@R)Le~q4($^ZMr(=S-@ z{M!muK2}H!6)Ennbo4?h>0D7(^BSs@*-=QVSId@nUe}e=Vv_CKUh+GmW&PVy=|CE6 z6I2=RGK#$cDHe;*MQh9Pa9jxduC!hV{qbI8vhTiVcla8-8+izQxb5JXp^QI=tlj?! zffnmAhV}b5L}BCEr|OK2`@RwQ?3iJUd>9u%K!H( z&wQ!Zzg?;QjuhYicRjOi0~@|%{REFL{8*{6iXcDALV zG1*Vzq8M`V-+1P!PuyP?Z$o#Fm$5GI_lJ)>@n^mHV9T@F+E91C7ui|H{{Lrimvh;I z^}#BPgJEVYude_)onZpEShBy$hZxY0O}pT@XpW~T@)LNmb$|%0Z^TqEu7PWiyG`ux zJ@LXnDT}x6v(`=a<^DYVgYT_h@qDn_a!c#cugn5_F8$OGg3@?f=Jjo5_6JIz9e3`g za68+EEKAxME?URlUTs9iEZ%-3wc*ae5d3z#h3__SI5~icVtw*6cYpI=%HpkKT;cAY z|K*8i`F>g9{M%(;OjfAKTCy?19M=%P{0(OX?BDhmtXHEIs|Py8J%2hS%!V>uwRwXm z#*-{|YZBh)3_IJdMFW&u~_ytrFt{H-5Vw(Kh^25LX!yEqwvP+(q&5k#F>`XNWC~x3;5&a8YkFJJN>r zq00M*Be~)oSm~SXv2W+a7C(OY=uS2IReZK#*n|r|bphJ-?dv5vG&#z)wXSU!c8*Oi zyaz)Sy9Oe&bN4K0e)Sigc#`q9WImW-*}0}{Lm0~gD%^SiAkRGUY(L{|@%)5WDY=e! zzhxbcS73{Ip`AzzsV8dZ+llz5EzS!>Hiz|gz9P06yZHngjP}*Tz}u? z9|;;{q2C2|vzYjI$HeZtMQ!v{6|v;40`$G*gIPXHkK>7L z^MGQW7f7(iV!DhdeoTx^y6xg)VlI0(!%5`;#aOTNUmjEgc3dlh|CPv!f{uMMSoqk7 zicb!5D}uFSa`TsDCW%R3_8XqRBt^xwB_w%=x*cx?!sGW_U=`ayodda%sA=~%_n!M4 zhAZq?dq4+eK4>$W5gO807fPGFwz_5Yq^+$5W?p3MZ71SMEyi!1-*Q;Cw1J!YL=6zi zA^7afC((0#1s=HR%cBuDN2f_*8&`xToEx%Z9KY@V?$Cs3VtPM^V*zKy01|j+TWqeu zTH`7_=M8g7;swg6D61JrlrlRC>Cyv>8x&~fzcQLq=|GYI^BB|lw+C++oOad6u&ik+ z2z&u3Y_`Dpw-~<-$6qmK@I9UQgR>iK-2Ocs{m-Y$J^JV82-jn**KX;r5JF)>!$NaS zS%^?#yZ~D(iSli3h{`Qii6Ilfa>w(!+f9fmh0?kyS@Si^ipzu>CdxH!k&v_$c+Xr> zR&~EEKBFRU|8@cj725$wgUzY@@UX?l(PO-kh>U$ZSql%;F#+| zfXS3Z`BpJR6-y@*z;Z`BE#GfZH!)-{FQk}+ygg`HAhExLyR4f6;Uoo&-wx2O6<~9$ zr;hfylTc?L2)9MLPK=(og(r-I*lzAKBj;Wx(Y{;8;^-FlTq8pk{+dEe4{^L$fG2ea z*p8!BJisfAE)G$ob48DAli`&qMG$MetjTGSk=~r~P7SdsWHoBoqF@sHqX=5@lst+m z9_ga1H1^@^6gMwd6(pGoG>$~Ew+2@}Uj7ITZ|f>OH3Lx7O~YA8a04Li?4V0BrRQ2_ zM#kFx+s@mKJ-nRiwmZCXin!OZZbfAtd-)YwA#=oH-x0!nDV3e69%m$hA|hPh|&rwOZyptQ>cn2O&Yrl+8$|Ufg=-dv(oTOmn#F~ zCrO>mVi?Qz%Z~IS3bFpG=wiWqzRR1G^YleXOte4Dr5wd#&9im7Y{V{u7ksrU5uhbF zhpKei_=Fq={xIscSn6t5qDrGImVN|Me(y__P{H~M6Bxfu88CjEc;DwWVC6tB#%}>< zL|0(`t@P`S=*FHemU)EuzAGe-_5g+PTa~Ab_gq`#O(m@EepUQtUMHu3>U;p?(eF)>XTWh<6fcp5r8d6|9taIWe zO-X>71y*tB@?EIJ=Uc@PRV=-!x+PWCJj#QXG#>cCkf6MfViFODOZOFpusknRl+}!c zN_nkX=koG}Td}0;G8Hqu&@R1G7FZ40S?t5ZA;)iJHwrQPc5bvpc%Uu^cFLT7B_5aP zAeO+tKy>6KvGm6mG!_2xX<|SU%)jSVVs{OgEww8+yifrQX>!fchH%}JcB@z-y`ZGP zIPPNQGYi)YQMtt`F~@}8Qjt{#zUy*Cfu39jTbCfslH=B)PW?`7*WWaYDd3F2o3Zjt z3s;ud90tMYNnlB$-<`VvtA@;|*e&o|2aR)+g>Z8iCHrtghVSWEc@zU>K4=8$BT4b5 zi_EVyUluxw(BMgN%z@u*tE{rm3eBiqSn6mqf}{j^+}nKaAfkmlIS7RqV^TyCFk!L5 z|E+!yHqlcgnPUbZ`%RB>_ck|ol`?%6Tcu)(#MmOl^DDo@?N(BCcvTCmX&**t$FxZD z5+uY2cw?I(d9o2^)bzM;UoXV;5XZX(T5eHP5nsS1pK^ydiJMm2S+%^Q zP-d?{v_1q}GC6mtJcaqU54~JoSKn%F@ckg@t6v=q&0#E8@Gi2#&kT=P88)%S<}R0vLCOPK+%QqOz7=IPJxVFNqmV8=N;D&LDR8Pl zv2-8}w%OSYq2>As+p&Iv{LNdX{;^8qw-#X)gg0RP)(jjJsc#7C3$r)X&Gu^}so4#a zqrY^T5quBbF2I^E#rn5+t)c=nKvVnZmN{qhZgT)zY-6u@TuPKg`BpJR6-y@*z;XxQ z!HwrqX~g)zkf6MfViNKa40jcUkhBzN$nJSH))20$g@;INy39w@5@C??g;(4nwS;Fd~gxjo^Fe(mx-_$+{Jd z`uLB#cY}wqe!_=G_in>-W^cy4$BV&bCeRnqKy51=@j-@$Vx``cMtUzODDLo5^$4E# z7T~ae!Dgp|n%&KW-@Q)QMej`5hqg#e;ul~z=PMdd4{#Dd4hGiJ>9_ozj=M?Au)W`7 z!UD}s>oK8=Q^HhJ#gc3bw%zDd*66VTU9udsi5|p5h8LvNDh)VfExUpq=K=WVn>hbAJ|z0_gMkDCm}F}X{Ck<&vS(^P-?21% z-etmBlI(rG);WIb5!pmzAR*YV)o7PXh12J#hP>4(+_2&lMxV3ToN>Dc<|>uPcBv?< z>Eg-~VM{SgWQzHyTzcz3+U^>35DnK8w%?EX@@L>j5NiMk6I zzqLQO4bvd+Lr3GfbCc+G9|+BZSHhhC_vum0^=}W{e4+{8jtG9XS1JfBwq4)qj|lKjGt8#qGm6Zmup8zrmJU|K?IkB#yMH*2?!D5gtec5mwy=}8Q$B!R`*zAU zeGn^?;JbU)TreYZ*A30cp^N(EpKe$m4WJ5oU}qfP^2|CN`i}!rGbqhFQejar2vw*< zSLKnHk5FQ~0GUdnT&qyOGU;RjSnjgsQ699U@xTX$1i5unVp_6tNt|h#dXV~g49N__ z;Lh`dsop2sQVB8hH8D7z|HGKYc4Gdu zPhgGaBieG0`*_<{m*;F!ZRM(|23S=Y9WPA=;bL=iM<0MZ#I|279-F}-63nixIi?wW zXUFVB(qR2tmqU3q?7ZDuL}XXC+d0<49R}<=Ks%0d4=NO&%h$_XO$$89Z<3V2a^9w5 z9NZ2ctI14&hY7k(^p7%Ib1!ysU>39nB)*%g}$5QYhoRDz1KSR6pPV-zw>FKRue;%$ zVuwzi<`N5OEw3F2X7+s=A1|4qSbmpv-D9~i@s*&j-7+E~GJ)}1%XaZW zESoo}j9!=01rMAO^c}b{jP)ZJ4|{Kf=e#e$E0UY9OGVW;#bdJ9;7cZg9nZMJ??qaX zFJM~%M1LncUj4ryyRd(|0--&Y)wX~)-ZmpdX}OH>6WGu}h{I{zL5(5e#B&u`896nU z#8Lz!kR8SEZm{oSP7T`!k-MFuno(?Yp>G^^ z#1zMH%lo%H2i()OMc!(u;rDJ_|Gfv65pQ`)^ntqpsVX#v|9 zbE`nVSa%nj(uM{Hc#eTS0_O4(;DG1a8RTJ_*$644#4$Vr-zx0iQi;gL@KkKkzT4@d zkJ>%qH|V!T;|al8Mbgp>L@~C9SuG0~Z$F_z zq9J@Z5(k5jB@+~e&yWPkpxEv6h`;qvwl(Au1CpoVTSfg_Yrz<1svun*lI!|+ykj}> zwz}vZ6lu~ zelpijkOy>NM6mvC^0`k3(r1yMl#VAzaK(4~Fu4ru-R3}ME<%A2`RP9Sl6&r;`R6l8 zlyvzvH$)XnClkPOmo<;_pe2n5J}@LGFQk}+yadBtMIj{hLPc3C^jqyXqgG}7*6L@5 zOmt{?&eX0Pm4Sg7n=I_KGUlx*2oCI=kj7Vb#B#bBl-dOv%L~trC%(EbwEJ)955m`< z8Q~LWwsGs%*2(DG2jX#q8@~R7v^q!dHWZEDf?)sDe15Y~tzsJ||JP5(#xtIv6w&gu z4q1k%j+`#{1c4=07s4>N3K$ZV7g9_@UZ=cE96vjns|8iY6A=4+F!Le_?fJp>;6sCR zn0*)-yE#&hX!#(J&3%|a(}&l}IHBeN&>xr;thqm>;k9uR$5&eoH%EzlwNL8%b_6pN z7+X=_{Dhi*Yrv9txe$GDV$(+6l0A3FZ_RP(w;c^>R;7O{V(g1kxv>tU(WJTWD6RW| ze#o0FgOg)RYurkaJ4xaiHpPjirwJwk6WC{wDT`oN`n-%(D;mJH^5^pKDgRj7zh$Ib zgwCVI{9`v|j$PF%YvvtKXp>~y(j7^~k+S`a>)6+IAJTky;0Huo%=;pzU0m{c0B#9y zb>MzU;UIPG8lG~5JmZSS6F}Q9ueK@A^7i)D>yxjQ_iv$H8)S;MWgQ<$rJAgZVS=sI zbt|C6bXJ!+Il!=0QI=`4({Kn`qCC#y-BhfZ|GsP-LW`xNzKg|Lkm9|_toqFkI5LZ1 z0jgUf+p3VD%b<%2@5X^6!T4CJ2gFV>tE_)(&cbgwD$1L{fs?h-rn6`?LarkTpbgKXQqcaA7A0qSQS_$bTrcb^ ztDBO&xV_p9*PspfJ&|j$DX)8{V>^gVIQY30TZ@F5eT}XFM zmp7n10$rBi)`@^?x(#eu|JDX( zS)$vC?|Jc#fv=Q}C%9X~c8(*QW}H0Uf!CVD0`A9uqmvViO= zR?=cA9X5B+RV)#j;yn9;x-BWh$;z*#NB(hv_WJFTTI6;cG;NMZfqk)MBk@6kbB87D zOAk#m!wMRew_KdNW(OkWhf1c2r0HeUrmey=B0L-O!2sp+!QhX>^o`|$${%l;sQoki z_PIMhL6>WkV~~Eiep^(9q+itRVtjmon30#lb8A{eyELEU1bAUL(Ll~txTXu#|Cq)@ zL*k3vPy%M?(z7Ey%YwMHe@mv7fsqf+kIDYBcv~jelp%Y#)w96r`0Y%;oLA@F>$SLq z##im0#vCqqVFgf5z?sB*kuz@BljZ$N^n^ARsOA8h-Hv4yN!@*v)v#QVJabZ??~ z&WjVItbfabZ)enB0ep1*=CR&31GR)nn!uilEwoc7!s5hQcOZ#g5j>B5R!VKqAYhcJzT%_-SC~Axmk(!4<{Qfe2wq($_x^jkCayUmc5}gu6nb`%|9t}8{X%QPaP0> zaGwMg4m^YJq1%Uc_FvNY_<)4FZU{%mQDgLW>%RVNT-z0_%x`aPymYSYc^RsstWvvG z7Vqo~QROWN0!yl+?6*|mxP{2yPw=~+VfDAw&JS$=JJ_BZu*4Vqws~Te+Ga*BllgFO z7!B)XwiaC=4fD{*Hp~Z0#^shk2Jk&}%m)i)bkA7uxqX{B^1!iM%B1Em;eb^)yb=%W zgfY7bnkD?dMt=B}f9z-f_HOMK%pAXr9>v;Q!0)#%uvAloE^ZNQLMGyy8Ik-jr|7H( z=!(u*FeIEg0}->=kh6x#Vs*HxCNje{YQ!)X;R@$OdH>cCGNKWT+gIFOKQQ&Yc;BI- zIgTP(=X;Tzid67hf54?k_*xSJ1IMCsRe%rtm%=~V3ma-#9><%ypj;QC-Iinl1X2S>mHVFkafriSa|8h9YR1+UVE zi8`el zyaoM{y#ujK4CoHr<3Y^epw!=`I)`_IhYr1PV{9=EE8NRxXAz<)pO=-qUnUotD1-u4 z&YliEKlZUNZR71;alED2;~9)o-tB3fR{x9jVy95C;gTn4MnGi)R+QEBC#CF;Lb~+m z)@*mib;(`dyz6=E4T8>4~?s3j=9(Ss0xG zS+g)*Y-73j=kIvr%dkgbyv=Lamd#DMbea-ixy$rX9<*%C10NU?6jz}oE0-h_z8oPL zPw8)NX-bwZg^-tzu)wqo)9tqzQ!?O>w{F^i7z*st40%N8>;|!KdM7#x-+3Wc2*dd8 z=f6H~f$+n=ulMQ0&z^(2;d?s!>Vb(i-vGaz9JyxKYd<8@dryz?%5NCmJ9o;g;Ctww zdfDY}IeoNwY5x|VmA(ZJg_{c7DJqir3DZr4u{_8S$^&#pCbu)*7S0Eg*b#O$h9$S* zt|?tC4?3ii31GSFLKx;&0YjqlLW)Vqd%Qz4W*>Gn6j$)sz0)?Z0WQuPgu}9xWWUi~ zHY&m2o*Df%zNhn2c}i}YI1tRAUjGPIo4IU6#_Gd(8)EZ_jvo3sxoh7oNiw&0fbUaO zfG=1-QMI9xrE)3=UdqxtK5Pr{Jk4Nb?Ur$8zYC1|1A)#%TpCae-qz77{$(LU`A(W6 z#%&lg(iQfp=Zu*2Egr1+F}4L6A43l;ZU!wdTY#6U!vuy^g)=Fx%En+W ziv}vAG4rZP#?oIB-xh^7-jv9RyUFzGNM`X3C^LcdxRYYtUNF^6Fs@J$LUfSR%W*}9 z9@%kV0aH=OYIG_Qg6$;kP7&r}Td9+v44w$g@Y~eKF}W6gX{P%jYs$#*v{NyJ@7Z)2 z=rJq6WAj1UqwS`G;2s<=7RY~Irj^uB-zu*_N{_&mJRToU*Q~v`QU}+<4U^Nr#-|h^ z`Ux)HB7zT225?Yh@a9T^#Iof0HRwtBeUJ2jiUB_*uDr)+b1`kL7VYt*__KO8?`7a*415`hj(dIO&Cl!Ve6YaL;KUvgQ>L!;Ne~c^9cMWP9E2>mS?C?fQ_f2#U^Yp+E%d%D`}V@ zi5DoNqO9gwuawzQNS7Y&1%lU-u1hm!mV!aGilu|qkew>O?lQ4g-FCw^8Ay`Btp^+z zamApp*^UFVjr*_em$~`dFn|21V1e^*F@9@nJ70TN66`%aa^L1@T)jRS#rSP>+g-D! zSYn2O2uFqA?q(rTE<%a%0<57V%C(9yL={UX6TouU)fk4kUVv~zqVhtDNytku+*K4p z(o*1Ubwyd#{kFU5luFQsv|TAoz{a^Pl(8DH@5cBo{dTPOojxNNzYYH2>+x))gO8(M-WLa_zB&vaoD4>4cVQs+vnL1gv7IUl|8v8*?i#RLe(KXx zGU$_R1BvhWE6kW!YDz4Sm%>uSVw~~Gmi{Gv8+OPt_VTMh=}x3AW7Wv1T-qXQhj4KKqg;pI%kTETldx^XTXo00QXg1r))_YnB% zA4zQgWm{yR{#t`?t7E<9$xzL{9b$EFG&<%Nj4w>wKGYyx=BX8URz^~xnky6hyyq%w zI=@p^`n<;^-)#)$hv10>%1yy!gPUc$9uoF}2{JIMKyKW+$w^Gm z5i5HNCXXFqFh{t&W2LxdwDyrv*)%<|v@|6)!V^+MU&G}&9+Yq=GfP36eHDw(IeZx> zka9{6opK=(6@x!`Gdn2+n?7#98V)f?JwPFH1C6N}zlWnbdesG1FWOPMx-FBZN1khe zN#>$GCk>|1)@WGt(=9Wrz`+_8yS;(Vh{0Qc<#PvdUJFrpVF%IIN|KxsloAn+?QFoES~ZX4ja(Pn<5SO4h;@tUd_gVr{ENre`^l1Q+p{|sD7SYeBBlB z*F77hj`3TSy`v2SWGPtOtn0UIMGq_M{^t~Pl>G*EJ6teMfZMY&Y+{Q|LTogKVq=65 z!$jr!R+QEBD5dOAs>6mgj|vva0)S+~vti zC169omgtB47NXe!hC8UN7{3i_w}o~voqs!|m1O_Yfg!o~N9V{q=Z)X>RG-M(24wif z|3TzkcbyW(|NSznufGz#hO0k~#c&2>a`Mw7GFU}2)=$8~H;5)(m2WECbvDOJjODHz z`jvQ^&oF^PTC!|cPPco4++yoS80J<1L!$O#3uNVzR4HKDG`F z^Z4LsbN;QFq1AjaBOlQH(L*ncwzHoQ)aA5^+JJmienPJaF2kTi{an0Xd8N#Z?%AmI zYX)Rm_m0V!Pilije}SE25##n%cGeN8j}%jlGw_=)qg=gTSGUy@w@Q-2RgzOxjJLch zp^b&9E3pqN-191qqxi#K*>EbyHiWl*j93}R_^sk`6hNnCJ>DzWg3$?*)DWVQ86-IW z_TKC!4afOlmt>nI#|4Mg>dMtkk0RKkSoU+RXxHEoKF>5E(fIbjgvLz+_g7OfU^X=i zhn#~m#4}jccDlu6#Kaa;$}MxYNo*NEjSF#;%<{t7EkBIBv>Aa7>shk*dt6(fGq`AR zT^J}0{`&)?lY=rPyjXhyzO|i1F&->zglE<@W62738J8k=J6?S-_?^J!fhZKna?jp8 zls)uuU;&fqm_?#U(#vv|@Bb|fT}s|zxr0~HkW_VMlmpyr5p;>4XF9gBAvD=R`tuf< z3R2scKV9w2DG*VZZ3;2ZV?=vH9sx5XEvG0dEc2csd|Un>A^rVXx#Y38V1_KdXXS_M zK=Qs3=U)BEg6jgHYrAf#QfHj>dTCrI7E9#5bpA>=#ORS72D9OwYJ(*nN!{qB+I3T` zX^vej>4t(>88)%S=KAey1myukk71&6eJjdpdX!RjMPLJ)GVYvMlPa8DJ z8O>WLvK4_sdHQVw1ib5t4XQKOzgw_sP4j+?Z9DnfQ)w;7f7eRAe|8$4;{lkV_S9K! zUEc1>lQ-8+>XDkFVKzsI-&W-p3o#@rmQE&srDGGAe&g`!_ncGc`UBgV;#T`-sd}p|zbDUcfeO*9ONd>X zlX<9{A{z&m4_AZ5sJWE9bv(9x@dBGEqB1$2r87cxiLvHfFoxwSVBKH|JLt=RMg`ie zx)n&DFC-Nj`^cKY6cpFW&w|ec2-OA7;9zn9_3*owV!Uiff^CT`Sa9Pz5!jRoLI*$O z>7}B(iD)jiz+@4$&7sWB0nMe&uo=?gYR)k zqxj@b>Xc=|gY@iak+dt@%0$AnAGq{fSI*K5C|x9ODe0OVuU6rP+EEydY_YipqivN3 zsAg)OO69SwE6QrRxPqgzkS;x_S!78^@h#1GRrV^yPvv%0p())uS&(3`QOq6=l&+0Lopf*15c$-yCcCZPSM2F9yEmSS%fU23wu$CqSRXUW+d^Y&hRCKGxE= z#fnA4YQ`}JQR;d}HilsMgZb_BtqdaIyNZbSIVu%ruatRVm~2(tY+(`NH(v7kUa>!PwG7L%3(w!`Fw=;B-Q}@rLRGu zJ&~Oj(9Xl|quuF=-Hlk1<6Lc$*MQ3rJUSe231!EjX&yP}N8 zRrm#{6tPY#Xu@Av&|?>L_fSTCtPcPz>JUzDzI^=L!}TL00BXHkiDKdAQU2 zLLVIY)@Y+#5LG!JaMIl5IM-lTVV$QnD0S6E8cJjcptUVFUh)=4Ng03)Hb=r=FI1G( zY+R+hjzYThDABCn7jNm1#nOQ^*zTA;bHu*5v0LrUQ2tXV8(qNipsk1ribB|=iOe-# zW3hfh#BOmTm_0Kxtt!0Yq+|$k>_T#~-GAOstL*!lXrZun#O;55{T*4ebPm&!iZfg(UUeRfb5{<$vJ_dx5LMoSAh4v$cN1cKU`SA2NHGa{35L6hLP+X`in3P4Z;jt? z@vb9OHdMPyvnFB!h$Mc$Ox}OB1k?YT?AG^uHmc^gn15?ADco}Yt!#nc>Z!5Jp=UZ_ zk&(4+^6}3D|KYpFOnp*rY1W+hLNK*EJ}N<%!r8f$i_k)8OAwAQ-ztWr#nQ$g>c8|yNG%|)|qNX&(D)&b`Fz=A;O zv+T59jBTO0;inBOWJ9=$8>o0u8>S?Ab_uQ!WePDp#4%(6!>@&w!E350>wFs>14H8R zz_Nd;?rQ1d#-lZUzic;RBPQOG`g)lR7;h~vwizTAL{r(PbmA>pe)(^^gmTI@tUumX znOWQ>u$Nq^1s=bkzpf5qeX|ey3{bnSd{saOs*VK!zc|mv)Qt@@O|tFXxC`z&n0h zRil1A7hTXRMRsoOlg2ho2@gu*QkzuOG%TJGDRU#NTr+@Q!vhD~-hD3|7Vo+@h7pl3 zka{!U^K)?8>wYJi=vdD)RFJ0cxa9GCS8R+SX&a=i!z>R}l+_GpN@*R1bm`$V zn;{)NsZ%rWeOae;?W`q`2Aiw??r*92@=bw}+lM8Y{HR1K6<`x|YVf8j`ZbaBA!(s+ zs~d`lExDnvCWnGV-*d9D51uDc9rJG^7o0u9ul#E)K$mMuGUg%_h=#?^2TFo`n;W7E zr8_1NSW}uopu?ce6Dz~#|Ei8Ga5=^l9!sxU&aGPX_VwZP=-zE|d*eSP5yo#@i1Nf9 zOf>_AEvI^(Vvl#ft#DsvDmN{M1JJGIE^N7?mz#4FO${!lFeW=O-7tlB4C0_6s@=Gv zoKVJ!P`&))-U9Ke-Iu}d(lA+rQC?ap*3x>hjLZd`1Q}ugj9z=47)wMuWY69#yn7k2 zqM%Y?)=rh~^)l2vTBOQ?X(Pi#anGre8D9($6=k{NK+eWwiz~KV-c2Q%dHV_D5Sk|) z5s>9Ii=9Wp@Q5)thAMC|zwhD`Ph!axg1M~_OIguUQ`$um@|1x=7g$LUVKYnw*q~5g zc>q|7$n;3DWqT!Sk#^#XI@B@!uAj|8D#N6 zZ=KY<>*`jRb)mv_Y<^gXQo>wQl06rp#Q5XwDdGt8ZEh%|Sh}S+=`SdEbagoSYeggv(9olrrDltdK1W6@s z(kiDYQ7f%mR!E5+MS>42pONNBg14VAv4+~{n#pD?Su5ZL;b_8I*6m2ui?;RWIfCliPu&&P*jvsYaR?fx)lEcBcAKMOfkD64 z-R6W|zg6^96EG;nb!nC&D4o+1EPGwNAzMHa3|<((qY4s+5RgCsl3Zq_ImMx)3Mq-C zBwoS8CTPkMhy`@W!ZBw70TH$%(g9ymm%^l`w*^hBENL$wm*lisAq0j@gbsZ&ggTUO zhZ$>*Fan_=aj~p?67n4H;Pu=Sjo}rhO!Q|kwfE+8(DB85uq|G>ATSs7x7M{2OOC8rn9jA65>o|~MEO=R zL={UX6Totp>7zVoN#lVJ3<=5$DJCH=!Ejem2uZzAQPz?2+cukaX@|91^k6DWpV<&+>oM9^+cqBDDqntRYb0jUHY;8(yf#jJm%>>W zBp0FOB3szd5w<+7LzW>`M^2Y}g20lh3t^aB1q_MG3n?Zc@9_`*cw4!SMv~};onp4W z4kmqH>(1H3|Gu;V5mUFMlzYxGd~oA{ZIi+&eY25$V{n&ZK3FqoWvJX=H}y6bv)Y+- zzaFf)1(rlBzuzulC{d6@Pa;=gLk~1mjww_COB&18$LtzDo=wKsXK-MfzwXC~IubVr zq8(9~UV%$3n?(yt?;#G;0`!L#%(hZ4;rH8G)uz<#79x$CErr)~xpuP;YQtO?I)z3W zZ&l!*Szb%Tj`a3ncb&?NS2!c;O{t!rrJ>t;=)2J^6&M%~?oskn-`Q6El=wx#1Pey)8(EZu%zlj80J<1 zL!$CRib=@(ya8KOQ|Aco6f%3~M4sr6hPJ*AO@aXc8rBCpRHK7ogJTe9xP~-b1DY+` z0v;F{J;4O_OZeM$IJVnh@JSrG$o)|D(`0x3}JVp#ry zV@LCyM61Yv5PqSj3DY1Bv2lc$gAX1+2!}|gf$@;`(8sI=I-Zv;Q%k@1H3eEcIcE%~i=7NV|qLLLUqoOR50+hQ5n_(iC*A2j1 zqUjJFnlZB!46w!0fdqkZ#qPK^2(G>jQxGwJoA_5D0INcoepoOgkkO5a0=K9lwPF}Rj%4v5P~K%WW#YdFGOs~AHGxy81aKwz?(4x}MF zsvqEcI&p34gmC)xXCc-;$!rhQ;kOLR`>{pQK{zE69KY>Um?s*>ZxcE1r{h!2WL7X~ z3&293+8sg1OOK0wLM9NnLS}f-aW*rf6kswXLB3TCQJHkhgAVCr0$A?45Qe!vVBHLf z$_ptbA#V>_7MPa{aRdK&f0Ar{-8Rm@tpp~cN|Gd+@uOk zS+h)u%Oek#N8Y2ZV1e@(W&^z32wHAlP;}^xB^f-(IgujW(KO6Rti*O3=HDV+ZDn1> zG#qmF;D}gY?c?n-t6{3stDO#HKDh)gd!fiw>V{?PT7>KjX>eA%NfvlA#Js7TC}La8 z$EkxUICe2(6;lrZ+ltNHBA*O55N?W z(F?`W!D`6K^034UH!`~dVEqK;{M(T8Z(SUP-)8G4IE4-U_WrM+ zb34CvFv0lk?h0a64JVB^&4D{VK;|b*=h{huXkha}iQig7=0fSNp+I0smFc5AXxW$t zJ}@LGFQk}+ysHje19vATk=DwK?f3Cy{hF1CG!QUlpi_4O`8dVmeU6-}iF*k`6t=7hMy8%Cup zmzkw70P4!brIMB)kFwv`CF=AJmuCS@$$9goMl4)P#TYo33zqa4da)NU=~lPV&zl^o zI0g2Qt#!r_b17a|AC-n7Vu3p^u=D^M{I>_kp9MNDxhqiL#uMdS3H);&)4s=1(hR)V zSa{1IrUuYyJTR2Lx;rff5mgN)&u7$ED^uPLKm)>o3NGfs5fON(W=0JAiFJ-K-pDMM;q0XAijR{TNng zabTLbnZnUrC{qVRu{uK(KIo_XEeG^bppFCE{9VPLH4wRq+8q^9{$s|W(KT~M@>o`! zv7;C{BxFkwK?$Z+;t)c}g)LbLYDnP(?BJx(9tY=x-rQT$8`{uU%9as{NYh?HlRk&u zK2Ad5=Z23ON_%N9?{e}*U7la+@&cr^v@XB){z7heb=%uE<#vALSJiO*)(t@v)oy?tTTO{+jd8P(y%o{kUQXdS z(+r7Ptuk+6MO40YG66JqsXm4WO=&!Efg(Y1A^9YU`jSs!AbnmTmg59^#Uc~}wV}*r ztvmA0TQy@UG8cjZHeWiBdp`N$$b6&EliS{p>9_Y*hOSZBhW;R8?71S}zAG~3kPar{xA-2qom5^Z*P5{Q8-eL;O7QBA zb}|mBF&fsegq^LTNR%&~OaRSYrZE(Aok07LsJM`P67nuPaNhW;f>Eb75BHj$>hH>} z-l(^+?>^j7)lZ*Q;G#zsLp??B$2WNNnKIFHG-g|0f7nb1|F}W-u+QPW-}l#Uda1^3 z8`}D@VYguGmbc8m>XVkUEWag2kC&yK93i%DIk;~2qAY+j-#$t8j_|dxo2BNS_K?Uo zh6x6tP12BhFZ_~MoV}d+#Z$N{Mv`F!q;R9Q2bq?hzxgnnkLqGh1q=ARSRE5YGC5}u z2=5Hc416w}!2p=*hsdg1?2vtqV6K&&)dmI%1~{-l2yiAK&?B&VHYd*I<1eaP$L4~- zMbO1Bs|6TsRt_>(Shv@zB5kNGznnKMD!?#npT@C*uMzY$_NQovph^d~9v=8c+pa~O z`$VreZsTAYCqXqp0`EEmq5Dcb?V(c<%oP>BWL&v*o|Y`$$rzj0Np?yTWE^>MwT(^a zhg;yTH5?r16D2jsIP4=ha;|JK4EY8GbA2*4{skmFIwWFlbgL(4jGZQD8)hg^de~_Q z58z+~r96ON2lxsED;onG8obERtIpPRfL6#T=sz6PRrSnh)V$spx&GyT@6m>=)sq4b zbAX9Sl(!##roSQE5F^9z@EXike7%ysfAqA-k49$ijWQKI8-;%YaRJ6}OMr*P8$Mcs zZYd<_g1D=;6u~8VmaA~Z@+gc=sWl%rX5#E7g_3=nSc zv@xXWY;#3aaSMV#lZwXAx(P8wpn+5*C@v(QguDdBT}dG%bwWv5Y!86puConO!`KJ< znsm(J<=bGhO#Cf`Szz;}1G(puo^N_i3+1+VPcuNuu1~+(us!gU%L!UO@&!5L{wo4+ z-CsZ8M@rU6{r;ipfx)*2GI>jXq%eM~=XPzI*>?!*Cr}TL-(s?_15nS}A<(3z94CEh z4yIFt=0S=uJa7V74T(BhrKX6=mrf>t=B};SrZi$)ph!?$NIr>(#Zknm49Zoxhl7Vk z55GoTIenp})Kb6NpJtmRCssbcL1XD1=;J>7N_nVyZWtP)!f4B#qSY(m`FG<}zZk#u zG}dnNHm#e81wE$g`4UsiT0JiG+m(Q_^D|eX?B$WEmPgD9l;RGFaK8RR1(Va>oAa<< z5-BmjFjdIWhD2Xr1FrxIo5nVddA3G-X0IK;WfU^4=KzKY$^cnnc{bs$rX{mHNknXB zWD=grWOXx+vju$RY9^x{Dh=SFDf$H=#=+tMtLe#QVJ*Jrt5afy#}CG|25LO?UErzg z+rBDg$o&l^_S{wiKF4r^KE_Fk%2`5};P_aeOiN(=b^?C8*3x1uY^bzqWAt?olN6PQ zIE%O#)#X6Q$vgxv!a0C=>jhWF8G_R+DCK}Z3kH=ib$?Dh3p{<>j{h?HZ!f&?g7-u0 zvQXk}s=fCH=p4{VHpVWcWeomy5L+oQ_##<&55B6Sdf}z;T{Fo^i+wVpehdQ8O_?}? zRYc__IDjMjb8e}94bm9y77vv%Q^bV3$1a>`3#cD^&FB|CZ2gwIEV%r3Kz@J=`{9?& z@X72WsE*S6PnRv(%XCgny*nyFG*FR|1B13p5c*Fc{0?2JrSH99X`n107{mNEf z{XK?nH;}vxoQ3soXP*?A-Hl-TY83Tbn|qan@59~Qoha_nv(3c4o5dW)_$~Da?E1H5 z&I-lSGYYd=2ptgy2+ZR-O$S8h0WS!|b+(EkDp$H?0)ZwKYms#mVqBm|P+Ukp33&;M zyOKgk>V%TAmgu*<5YHQ4?*GGeBUduaN?yFxQRfy)oJa8i*88U-QO)~oJaf5u3(`)PgO*gt;|{V%ouZ>D$(kZ2c+loReEITw%*}^`)5LYQiXtkNu6fWVolF4DT?V0;TLu(~ ziVMjnNm`#GPAZ_e=3(mD*Zsu9wx5s}Z_^Mp&(oH=^NG;A@bD`q6{W9v^ z5yz4?((HknlvhPYp20a-MUTY%vo{xQ+2r?lSYS- z{YTqtkd*2d0YciIn)f7mA9)Wvf#4W&qwxI?IC!~U%gslWhRbSJ3+)b}S z3k*H|?r;6VzQTCx)>?zaaK|Akg&JKdb96(=c}b4-mXa5CG5(m$?{ad8D97oVb>WF&@XPt4)h31+cQn@U6#?x^&nM;(64R;vSR zH*1+J5UKrNx$sAai{h;-ZU&blG;P5k)~<_W?6hmo@q71IltFx~ni4InFdl1sjNcB_ zc~v-SBz$TJ=fD-?624dTwQ{=u;l_=7Yw|2l3fzN(9-Rqy^*xznPh-(w0yFsZni2&p zTJmaRP*jK&Wix4P)?U0y8XnNviiy&;C@CxP3Pag#g=F-|*UXn6JoJJ7j}*jP+~pTY z2l9c(Q1koo9vD7KB8@-5{M)V9#IKiAF((}Oag5z!{I+p>uMF}%^oKU%9O(aHBoiFJ z#rg@d5A$zLf5pV(aes_wW~{CY)GUH#M^losBfySjV+E(;U z&*{bZ?Sa6yhraw>v+|n9(g$Irp{n*vpnra&ouFRCN}Mt9TXX{Ip?>3r zNRHx-hAq9J->4uG{W2ztas34U94u?IX`P%YFOva`-@0TT8(teZYp6J&JG}bX%0CX= z>Nu3K!bPZk;~%I_GR)>aFLP_4(L<0KY?|X>yr<#iZ*f2oxas0WL?IHH*gLPq@WL#U zO-Di}0&{Lw4jMD>kbPC0FsCr6Q=o3&`-@+=Y-TC(mJURqn<@lO{KPx@Y#pA*jMAX3 zpGNT5Ie5K>n^KGtI1th?RD20IQnrM%f?P?zipgS_nH?@BI7P6A^`LbX6R>paeUP$N zHpDa=fCqWNq0l^8af=zUHc60Xle3i#ws}ONho|wykT%VjGtV^jzEj^MufkEGpD!`_gNc2KEg!o-G z#bgV@&NdHZ*!vl><$)nG(FXbhS_-Mj!kjj5V97DG6x);*^CkqjKaRR3nic60i&p%} zSEHp;F@53QU@m`2S<7-?Rxi|s$OCQdvY-`!R~03)8QUlhbT7U{iq3+}dEC2T3gH!x z#7uwa%WgDjoeT`%n5Kh=x@gdi5=K?&m1#6bFo4n&Nt`ejSVBH-YYif0+$MFb{4(r2 z8F^Mw_DLS90H0{A_VJ=hHUUT0%Ff(>t{id=@R zNy5xx0DnnYERK*VB&$a@CuNF76z$)A;%jay1-5H3r;Y#!n);a*7Qne z2}>a;-3wca@D4mrzvb=M|4C8*mSJ?Iz^s&DVJul9JiYe)uP$Wg1>riiuu|<^4 zYt1pi)8AjaVd>)u=9tPpQOwE3I;VL3SATFX&8l+n_WGWOE0w=W9M4eMwr(tgre3;J<~<;*5K(B2@SUSVrly1(f|Zs ziI_q|YbMbp1%nn->>dz+spWGdq&D^yHP6Jd!c>+mB)yT;^Lkk3q8*0SAghDInz?{{ z8-IJ}>~Nv#V_?s>xha-TQxZ}GY96#nV@4qq*R4%f=UUqD#uOH@`jPu@{>c%qXgr}q z6*P^WfQe^u2^!OI!mYuFbX0J0igx`fJp5813i)DBz($>wIV^5!IG!8Kq^~w$`EvWl zq&7$ZVfV$m$WDMPrs;_N*idy38~@Y=a|%@L`Ct0C&0nA4~D3E zcu16a7>g#nmtK0h1m;Fjeb3@*I(Huq@I7=X0a}JjP<0}iTYukcUkrY$Sb0{o*_-rn zz)PONhCqT4!9;0Wl$15!y81ZiQU6x7-&Tb->8MuyF+6zvO)T8` zG%oGq_fhEXwpkRJN=N6*b0rjncQRiG0>FI*WE+n6X zyeB`5KJi=Mc!2S?XNmn=d1B+xy2Be}(ERG8eN+}y`-gZ}RT6f1hc`V7H`jN`PRs{0 zGJ3P$Cs%;`ZnO;E=RM$`Gl6GiKVjk+{+NxBvPt+3|$j z_Z?I_1CC64&YiOgS-QuZrW7%r@^8S;4AU;CCIoYu33$3T5{*m1^%+I__$FCDF4}t1 zuAe{}#PLN(LqoQJyAm)bw~rN)sY2|@fh=o`Ra={#KmV=&^o)(SdF$0U`g-Uia0TuV z17OxQaBUaQAXm6Kz!;G?g#!|LcS~a}I|F=xAsAZl(6jBgA|Q+X5(f=swiS}mqd+qm z{eb?L$BX;71=3M8$8Y&Q@8I_l6mLDUCeR$e{pd46xz<1W2Z78z2{~AgaX=ldh{5~3 z(bPMQ;rqN-Ro>uj;QPD>`_HhGGR$JuW7O8>>_2O4&1PY`qm5^Xk%_+D@3)Ekogu2T z%@t7{r5j?%1kl`db`xS;ph!?$NInU935vUtLP+X_lCqY^Zx4R_dp};>zs+kQnjJ8* z6&=o(;==kDW9njkFJ5STUB#cQJ}#3n-m$-Te?^tl|bL zQ;+E5J?-7s^DXR-D+tCg%n z+OS8(ekEUctif-6##4j2W!5`=jOlV-4Nio3h!;Q7Kr!VZxh$1ua8pv>E}SA3nCh{< zi(y-gxuRwLa^BT1_WG}_73k>(-DQfvFRsP#WQE`AwVdDxuQ2d2E*EOKm{o+(t4Nm>RHe9|+Kchq(a~O0$M~&*7J8_@P1V`B zWlonsuET8|#%~W`{8p1aI_#n8eCeS`l@A>B1>I}wj1B~CQizJxA{96h7e~2{{?(*cU z%DF1~=w>;{@99)fOI2?EEryd{4plmvvK4VP58AB4j6#4Gh6MQSU=>AFaSMV#ld7%Q zrZi#-0m2mtiVMjnAumC3S5gQ`3xPu{C1sWO+k!KyP#Gdeu{CX)(F{n%@9AtlUz92K95C0Fn%ky;j8VJA;bLUcpL&IZ@E<_;_+KijVGU$ z0qAi+jYXR0Q6XqgRBgu_b4*FrOih|`rcf}EDBbY4vsDyP`O?V*(A+J}Z&5c9PKGGmqkJ0!$_>G4$a0fi#nP{WZYfMZ&dTDT_Z4=DD9l`kR zXC}_sI5~)}1V`Hf^Vo>NuM@OjQm<9VNxEo)#Q7G@*JW6o1sF?K9L`}0Xi;55Cn@sb zGsXR4t56+L!?%5^Ssa6tD?Tgv4E(l%1I;e79lynI6`Kum@s39YfI8!g!L6WM4_edh z=4rH|y6KV@C>Ntj3R?~`RYr()kU+G(c5ye1t=(%ni809RlMMkW$4S8D=IU_Q`8bIo zF>8|XWR$`B7=$~3Yd^_?NnP#k=@3wWcGs(bc^Odd+LtP1nZ%STYqb$2uF#{<5AF#} zP_qhpV)z@V5&>7%;quR@dLhOP>XcWv;g?g2yIm+MC(4@BhUz)8; zxF`#(I^(J$^)-;b^kVd2jAY>~Z!2-V=d-fmIQZI(oSm`x2JSsL>LFUA@*b?wA9DrU=(8E3Zy6FB)KR}rxvTQdLF7!!sVzm*B^(|)xN&D>Ov9L>m73i73cT|I{4F@CF_>lbx3^zmNPuci9W zrj%&eQ120w%)dp{u1Niy^K~%#@2Be;UTcow0hzctAzwOJAKZ#^UfQeWxv>rAw(S)OUbjuS zQkZti1px`o>}jv`F@8IQHKNyiXzn+MqVWsfCvwd#=xco9EG19xx=_CPr1JUP!Kkgl zY#G6k8pAzZVvfk_0&|S92TkZ`CsR^s0b!2KYl^5m=}miUQl-sfc+j*l4_u%~P+Ukp z33-A@ET^Ap}pXm+0_C-u~(JFy;21k+)X2RPFj;g=`6u;v?@Fy7^t0 z>}BBXqrFPx(#n|Jy*8}Y`{W+~962llT-pwB=OKT{)wdy?kKjGWrd=dB4Pw`9UZl|F zByTLCQp;I>XIXBub>>^KTy}m6ful{hg)$?gN~6XY@BN*upfT8*U?89oiPDqE!T~zI zn9n$ZAzplsB#x9UK)e!?>kDLyBIk0z3HBSk9Chf*uof7?o}>I1jUv!#j9uB>^qOoB z96MuA*hq%MIw*b5%vc7kkUXqI?55-ALtJ_rzTS4!Jy75{8EEwi|Mh2xV>Kv|W~|lNN`Oges%fJ0Z*tmnM&{(I zoctGcHd}60fwL>QHyOW;Y8S!j{%4P_?B5odiR-j>)%3r4?`|}2f&B0JH$wfYT4;HO%}}Vjlm?p$NZC?_s1+~X#(`p*cNc1 z5{g-@44YVEgK^`InBf7|mtmS!^sb=N9v{`_(jghgFA;t+6nKC5mY!yXRaSMV#lPcB6 z@SrJ;2M*Y%NRTPS!L<;rn2`~}_AtaW6(WffXv>namg=`{n(=vmAt+#5s$iOKV9gFB z*1ugFZtm4`a4eL`_h9UH>v`fGeW7*1x||XW>dLOd?D|Uh)!l;)`7S1cJ#ha=Fm}7c z8`n4EUG%r@knrhU-sIs!MjnP9=H{y_p3adspA8c#9Qm)r>QAs!povQTgz0=66I%$- zqE3PqtF*){MO40YG66JqsXm4WO=&zRgrsiSLJGN77>Uc`>VnY)>LiA5$$i4t>Zyw` zt>9NZPu_z?mv>&Xc4y-kUYd+5v`{Wg*5mifMV<+xwbeg_JA4LTh}?p8j?9Jd*C?4$}^A5v^tzOWckN8gfy@5Vo*K24+PRK5UYV2VaTt+q-dJ_7RZTkc4gv`Z)?m zC7?l??1@%_vzUa+bm1ho02;B5ORE`?1O?<{wE(Tofnd2`}yYB+Hb zJs^?7%RCkimO3F4Vt(gmxxt>4%PsWemX~_Ks;Py_)1(T72mj*h3TVhNJa_0Zwxj3i zwPaF>&oSK@Op?7J?l8&*cD)~xIh#F(x!(hnagdX4eJr9+s0DJ5vZ=xyAF;_KIuww& z++K!xjLR*uur3RcPO~m#=pHY$Kzx=3{wVyT#>ZqBNeF)$RK}OS#zN{&#|D{(Vn(GA zPM}HZScsHQ#(4K1n5%)|NY*rdr+yDhEWitWQznq(O(C8I%kH(5*@cV+R$sg|86Dd* z490I2*1vsK?V@SD?%x`ra!# zlGFXYkKX9l1~Go?9llRCdj}Coo#6RZc@}e&E_2?(IYng%Q2YrEdCO9`;-_Q*6h@!Z z*z7sZlil!uR#r@uDvwv7lClyPH21;UL_Az1#tY9>)*(HmwjiAQZrE^ESiz@gCyd|fH}A%y+_4=!Gv@vw8Be}nCbhTi(4+fy z`3E046iwMiuYG;ntTTvGzg<7M)_>ct1@;Zec0U2KpuLDK1XRnAprhZ`6j7{sq0Kd^ zmgcwQRNQ3>VP;vN@~1fT)YA$ko*EyfBhCI3>y13ME4uZ8^?^QZ$B^u<_IooEH(8HN zIrDz&L{#OODPO8@oCxu$Cq265PJaa7FWcXD_s}rLZ)ITHIT-!g^d@-_w4r=Ac0w}d z1Mi&n13i~qP}s)H2K2}-oKOoyBd+3STL^fPW;`Ix7$vgLPG{8k@~KQHr{}78=+>4n ziM{T@8w!-8J$ol+5xmFqqcbrMHiu(`XFnKobrrN#bA2n*6FYwrZO^8))7XB&ouAw=dabBnGe=yU6p`?N}?l^@=g_cbGZe7+&++{2X5agz$7s zIlu@(9lqOpbOdu@ywIp0QG)SX>1|w%wHR*{BhP@Wx~j1N&=HCpS9RoAm6=vmnxBD2W3wh>ElnLQJ@zq%0N(Fx<5j zlF=hyvnetcf6uxXnXF&=!%t9pqAHVZ zuvhFLFV|1d{{b&fj2-oY1DJm+;X6H_t0JYVG{^s9{y z+KWlykKaCM^h4Dvjk$l9ANK#E$mGqU-}<(XiF|w4`SQe}%VxP!SX8?LpN}}=@>>Y4 z{ct)s6YG)8yA%~pV`YAOnIpTu_h0i)w4@$}zZt7&<|^*;rIQJuxl8piJZMVefeRD~ zGKILfP9=Q(m@9MV6M>B4*=+5B^;%@77Y*&im!S1^p$s%W9{oRqG;cm=^bm|ZYb4Zf zRO0`jPs^5G^N@FijE28_zx3?CI{dca`(+Vc<*7qVh>=|pcRv9{V}YoB#ifHP1Ht~c zJu%^aj+o)=W~?p?BoU%eDMqpVhyXKitO*P*sQYmbg*dup$jVhrK4349U1llab) z8A9ST$T37zDaMz*3@#B|obhtfl(;VgY4U1W8U0D!ggu8Z{X#lrvYFXUtS$?b85Jvz zS-jd2dV9pwaJ}<1=rx`^c+;};!O!1A?t;s1$_^?SL(ew42c3+1kjxtwPYnsi$_``x zEh!`f{fK|KL_Z<97~9LKWi7cI2yd$2(v7zzt+k?LMZMT!r?icq_6eIhs%FY2H1>wy z92-X>l&ig39SMNh)%RcjGER2hE7JE>;jWxtqh@g%Z;U9ec{>gVjr0`MtA;WG@597I zKwOn4Tz(sh9mz7XXVKv{QeXbTr7R1|b%fAo;Lib_li$r=UJEFvIC#!NSm?+BrXi9B zlWyUNHpyncH~3ip-+sRXKWQy^V&JlP^EXlf2585Ssm7%0+xU!e3{N4)_|kKj&*VOX z1L?sauDhQQ4}+0ja8eY-drQ;03sm2O|K7dyLn})+ecUaeY!o}DV)`yh(CD~u{o7q~x|#gojq-r^ zY&7?^S}!`hH{)@ddptqK#hMbcDHkeO4{yEe*sZcq>gqdIREbfAQ9@%A)|xTNU=v=b z6ca^(hxjIxl$CTE4f)y%$>>p_S+mfhL`Uf+Y1{f^=$LZ6>HGb1LF4luG?lIWTB5)4 z#>M|>@BeZwN%~^3_iVC^yBz`S+M(4X7t9J zQIz|6S!#3iE3)Q5U2|>R=pX!VU-*xXcxz~_j#d#xRIYT(1OiQ}&Tc}C3ls@5g}AsD z;1!QELRO{U>WrzZ@fK7lbJ)3s62Haw%R;Pw>zjjkBkYl9aD~2j+l0)0&JQ+C{?EHU zP|rwf&Cu{$+=F3K_$21vZag2jS7ZHK*?D^)Z@NV`>POF%F|3~;e{=|A57)g?ltQCd z%KI$@F3xWycq;h5U9%J=<$)ohvsDyP#ohn{O{z>|DCU*{MWRe0WjIqBDu40-Po{QI z?S~zYifPOfd--fu$ph;Z)=#)Vf}Q;`skd*yEmq~K*J6HHA?O_%Ya-xQthy$d6fn9; zN&PY~-Mv<#gMFUy&zX^E(|Li?JECdi8!0!QUU`}8EzcUneVHI0I9kXs|;s`^S-vE!PzYal$6PSH#2}Bm#=$@ZPz3{4F-3=c=s)@^ zuQ}!8Eh}!g3$Q6BXxU7c$`C^mC*WyQSy?I(#uje6PA5GE+cd-74j^GtOc%lkunVR8 zX)ReNgRB z&p;h#yj5^~5<->%FTlgrY!;+#Au%FK5t!kNoJe1vtjSMw7EA#8rozOx$f}{d_MDbt z6PuHuU7r+TEXuJ{xa3&EmSW>V9E=OlipOncX;Y(3`U2MMp~3xkNm({9%ODhUop7M1L$Q>#NN7;+_1N}cGrTmNjqM0FS%Zyt7)=sp76bT8%3^VZ zOd(l4vN2LQyJd;Q)!ulW{nv~XvL)`?b$h#=`BMJB+BG0zjqE9oK_8q@s2 zXq($_E#cC3b7%j{zI0KOrAas`xaQmKq)>MSlstbwo;W-J!KZ1@K7Kgj8_XM@LRi?i z<+JeL0?>GhU@*D%-hp^j!C<_rW?6>t!a1-ioB_K|TzaAg!4j;X-!^a)_%p@*TjhLxBX5CbT?=glQ;gxhp15jT>n{-eTQH?tjDMy{EVm7=3W#1_zoGHx)WX0uU_tr-oM#2 z%*7S)fA5mv!JBSCXS$5vz8v8`#~e_nqn(V^Q(yVa&3H~%1cu0rRWx%IclpxE1kl`d zb`xS;ph%D@#KpA$uXvmha&q`>;(H5f)P9(`*K095DC=8&U-<4g=Y!!rbdk%?!$h0U z@jO2B`rn3E7sB=XB{1tNzPf!7pMpN>$^QE{U~#+uh(d0WF#5zu|CH&n_1@X#6K| z7j<}O9N*JX6W)%^LA83#gz>j;Ku`3~uK(eGpobKI|Nad!@XSu>*LMXqISO~!ausgF zvARd!-9IK*)h|?{&rwy`zfOLOVocqiD>0ssTn<$FW>0|z3sL)i^>eQ%e9*g)p?iFi zTA)F_5pgZxv1|eZjorcf@KR$On$p|_zZLuJ3DGdlKfi%ahWt2s2qET!>5aIeejU?k zY6CK7-{%Fg*Duq*wPzbbqT{SvpbZZvhHjgC5sW8vsQGHk!mwu^IHF-5TVB4x#FxZ@ zA3W$|TESO8!x9Rd2Up}7_9jWT5p?iarSXe!4ZxV1=I>q(3KIs`ikd6qx4eqW=edZ2 z6HH1G(>9`8N0uCKYpJZ0?01>{V5MuXkG%jqfr#51RaZ*-J78GB89c8b z-p<7x(J_*QHN;*6a>iD#hV>Z3YjMy(KLHbQ!;jFfL53TmGWTU51mN4Ntw44cj9&8G zc|~+ZIG5}$et9g=-l|KI8DRXjg7I59f*`y0A2&<2z72w|(`G<@YwsaDKOtXm-}nyP zmvQ_S>oE?Php~?6VK2JM`w_6@*Min}N#wKZCFg$2po=8#(O3F%n&QNAsAsIaiG%KZ0zI3oZ$dN9L z-`@H?`0ehxAIWR_^;q?rp6BZ)zkWyY`~;DYecj(}4nf52y;8sFljAatF}2alzSGl- z_j%>~%DF~;Y_snfZy@raM^L|`qP#NkRzaq`=XBdFqZ(ZVFKreg@@*{o{O4Zb@ODEH zovosX%9lpu5+O`Um^u0)5WVl>cu%reB{O49flq+hXlvIv=StJMotEaQ?SGpIk&rErPa7 zx{58pi;EI78zD~3QqKm)SWV&N>$El=R`Md@G`3-ckop)T0W^2~D6hhK#aJOz^`aHcH*9KDDoNbItl5DO@jiWeB^aa$z0r)hDMBAxo;cXZ`P zKwl-Hfv7>c69xF_mNsw=My5=SU>uj%;|8&%fW4rUSdqhy&$Wziq)6hra4K6M6Kn8V zqy2+}_>(FLl=QiYQf9!M@jfl4YCQ=3gvPH~_c!qva1R8XjcMp8)qZtdf*#puo!2EC z4j$I0@!X+A58fqfAzm-c=pL_#1)9&xif=bMmc7tV8SoZBUn9PFoAG*zF$k3lIaA#H zua1-W!5T|QC%tXu;mZyTx8Zi?XiwIFz$l&!1a0?4J7bX(IF*d3BEqHMd^?Do!&%G8 z?`9u=3lzSz5_vzR=C(Yw2J7TMjJXI$)=Frn7+g2u74jw3@MQ;l6XUmM?m@6Mr^DHw z8jAD-7vh2O?V_%gANBe$emgKQ{8IaYsh$3F!yQhYPDc5Iz#r|Df9!eb33Tj|PNW3I z!dVsCQ)9DngVDzDAi$=WC{*{jBPU+25p3ES~wpX1PC!jxMNYvRXil~m# z4KZW_Xzp;r+R$xy(3Hl5LV$2Zf=nTWTv?=IRz}DY{gzkqlCnDat?tO1Z6QOQX)5?g zF^mG;v}r~{fWuCSP=Tt2RZ(}E%-J=%`@R#g2km& z^oJcUyI5MUA{%z`zHtp=(7pIoW7rwY`r5-^&fcBDcDN7Ue83X<=3*QKj5ID#DFFjn z7gpK=$;E$#idljKs|BiL+#r$>JT$2&OS-7Kh^L1)SMKP&Mm|#3U#*^2jY7 zW-Rhjsyr_6nbRbM>uRiBXZ%JTj%mMWW@Z9NgaET4DS3)M z$Oaq3`gVa%atnV@xN0MyBX?niEU=u$T^3o&RZ$NQ4nQ-Ct9Q>qMngj2%Xuxq)aGS( zMrz1BFC0KHoruwJ))=%(5`1=yFHggx8)Am10(#?Ug3JA(i!X$dXQ*DtxA3^B;zGo6 zI_^}r<5YBhF(r+gFJQSbPUrW3uKvfrsp%rxC6I%=0da%K2mxE=GF9>&8E6(7V9T8@PU%O51PR8kv9)Cdl(!GUI{qC zeuKI#4=9RZW!S_T8*CO|BMlFDdMYML*SDms#G?#lw-u7nqd+qSpQemlTUo( zZjpPg)AES;YkmLYxRLrgrp!78gW&0}8#yd*4&|z^Xm#WrM_F!C1sWKTL!)C(#3{KP&3~-fSV91 z{HC>RXdck)fQNWj=KYFHUGr{{KYF1r(x3QU->d#PF6*h2#DpF9)1-M^-XZ>{{yXCA z6C2D&Sp6?uFMjPvMa$RD3JggO-g>1ky|0(x#>17Q;EwW|nWKuy#FX<2rz+*!xG5n& z5gOv!8a9m;HAPe^y~+cs%VG^S%Y+}zbOxcATLu(~(n2&3DCU695MkfG!2Daqc{Sq82-jlZmmO@J!uknDzJ#>r zoOhr>)e5c?sFhZ=9&}14b?k&X9(nqB6tddO;Pva6AwW+d>f`*|8XPRbz=DEI4aX}9 z+p$*eC0HQ=4m#<7C}#3}g#^aPLd^`0-#+Ez2Xk=i7ci#;%%wCcO_caGZkqU9UX^jr zt^Dz!C}G88Q^e_934t^2QVrXY9`}^JM^*0UWosX#bJxMC;00z6Pa}mtoCEz0NWtis z@)>WDj?j&W3ouAH0AH$(q<1l4wmdC#DFaT!mJ!mWS*iu}q8QQoTH)h(Uq-7n%5WMG zX*MyQXhnQPHiuc_Ln^-O!1{K;BwosuJdA$;86{rS2}0i&1KJe~fOB1hX z*P`X9RGe+07hS8{Ck3Kfb33Q;Ow6nqlA!j|;joHXWO6iun~n@&x}uT3BO83L@zXOj zi4M$Lv42OnHn^hKr84M;v4tBn_7+s~yDUE|+P^hU6}6M13;~R)NJm?;i8VH%rFqFS z*aRVHo`e}rC@CxPC_{N|g=F+7(9CicX~a1%`O<+@3C z%?Med-_qww$|5PiaM#&}sbPxzwukHmpn%Jl4kQVhDmSiPC-7SxM$a?b0R|39ziqt! z9#fy(tYnPU`PVo2SAA!j_!?{J?t1b}-04A#xv5vx?|p@s+ANlIcF48*+<3zW%~(fM zlDi{f#wnKsu!c!~+bge`tGMeZ-4Fw2g61x59>W776cbK>a7Cg_Ax_Q}B#1AuD_Fo{ zMUO6y;ft7CQ@=2L(7%Io3LH?!`XFWvh@Pn^*>k5Mxt9HJgk${o=~3CSVWzLq=QWU9 z9o)A;USer~z~**r7vXGFxP_Ze3Ur3+QMPONGsqa4@=-XVPbOtqht0 z$Y5yAK4z$%CH7^bc$S#kb98bsN~_mx>(mm>->ylTrbrT)A;u3W>4o{(<261eh6fmx zwKE{4%I`*_SBfPb?X^&m!^Rhbgn=(k?p`ZAwkHV!XXA?W6*W{UfWyBY4A0)n5kuN+dCE3lHW}(g9Vb7Tn0(YBtp5v=6p$k zJSkf0SL=RnyN4$U4lo|N2zTriIfNzcY8W~*#>|Y~p)sI)5j=RiH{#W|1d3ApFPs%_ z$5LW5@`FIRBTfa6o^P4=U3ZjqXxfTK6n87o%COAo2wk*ufhf&}NE> zQsprol$4dYxS{N}LNa<3Xh!Bjm<2XpI*^L&iOTiHrC2uM(`RBymv7Mk4p5=obJp`c zVlJ#mcnt;?l&sP6zEJiagwx-KS9s-fXX`okXJ`IXkN?5#Uzt|5*>y8cH^ig{Rr?Ky zOY~|s3wqEoEJVzYw>xx3T?1oC)Y&SEsC?;(>Y7w+>#Um)Qv@1FMS@Hr%q$DgLy&Oho&1i!_%(8O!pi%*{ZLsbmr%wD-k&ZENht)6`vmM@f;y;|3}E^n(TJ3e=q zBz2nuF{2PL_uPxLWav9<5oYSXwQn zKH&(Sg4VCDPjmb>IkEEj4Ii1_fxbfj8Qw>0cS?=lFH_)@`uYvhci)Hfy8S=8fhIN^ z_n*gR25c3bzAYJNblQ7{geAbKV^)Uybj zv@Ik8r-9RJX4wf{p2jhrm#L)JQNuwn&aAS45#q%_Lp!M#VG5_LF2Rafz(1{wXfsdb z>AiS)yj1JzD#+ltgBuui?MwvBQt;uk@xmSG;fBH|!ExL~2Z9~)GNNnbX(cqw=)$RD zf#)5cXkJrhx+{&rYjOfgwqRIc8dJH-0b}i>*myawN1|ogGi&`GQ5ueC#*mBOK~Le~ zF+I@+X#BcCxk(mJ5kGKVJYSb%87-iG==9q~m4-DBF0A)yEQ^43!&_WAPYA|uhdF)= z|J-aJ$$Ikq`PyLoR`=~h46gRu4yr`YRQ#avVI9d8$urzMiE+NJnJX~Z+X9AXM+s;? zWXq++q9&&TC{}`(ImQBwjaNAvI}8ulc!~*slCZStj~ zXvOlOvR!Ak0lyWF-|qY@hPQ}u!Qk^Az6OcMYxiuHxiJ>?uRn$N*)e`Q{`9C{l!4eSnimT`>G?Wdk^@NH36X07VnsPJ_IK3vQV`q=}TL|e%)8>Z|b?i_i zq;~A$yAn!RKso!jMXi)qfC0jb%?1s{L@8sZge7DpvBQvskx4PpQI7)68fQyMWY zP$b9{;^JC>S3J%LIZ6Ds%=m3i3(@QV#T}||ny1=z{Yu_?j!Y5Z1kArRd*PkI%rB{p zTx;1tR(SA0D7818;mhT4U~9?^FUPa9H$?iOgJ+glKLH{XidK-tWkhg?2OU#CL7EC% zho0a;iZDDV1BOIRPfKNK<|^*ma@wS?7QapOpt2_?xW>Wo+@WNTkBwzv>|LHr@7h+< zH0USD7~XflchAPL!oH#iRbTIGZ+nmQ)!#M6cE^*5m%^n7jPn0Sys)UvEZEW6t!Jh* zDowQgCKs)iypfjlDVyvo**L4#043sWwrSn9;gp)Hn+!x1nKPJiU<|w$48Gl4r^A#o zUn7fvU`{o3$WRtLvdz!kX^Ux|O1X4d#L3>G*&3aaIqv?gb)xpVcgSidQ0<2WNAyIB zn}{!`MhvEb89JQ8ycm^oVqABo!7*Kt^Xtyy;pmpJjE7so`JE~ue4hHGP8n*?(^N6x zVY9J;2XSL0W-N6kUjVKaS*k#mVhMmuA&R*zdJGn5<{VELfh@G8Zzwbn-7X7x38Gp3 zC{@{AUoL5*6{NBXbMu9mq!8E<|DMKrAZaZ*r^W4Bsz=%;zXYi{JdKhFEjCUHVJ`Gw z+ZBQ#D;1_123xDFTAGtq0qBf3kjLwUFvPe3bxRA8Ok=p1TkwkMd^K3hCJ9Lk!Mv)p zti-^E^4bc?+9{JW&qT!w;Xsjea4K@QRi6`Ts?2o)`mS?eHS~hrXpA~J&@6UFqvi}V z%4(7{Ye}*~m9@wi>KrJMba{uc?6ZWoP0%*WRDh0zbt-SB=6=^4=rPIfGd=KoHnQKaQV`Y+`%F z-xUD00y4WIm)`=5_1nV!w`LRpc%Z7-x5?wCfyMf5zPaE`N@~i;7%pUwU_MwG@9G=h;lEX$U55cLt+br(D+$hi zR2qD?{BNG@#C$N#BEx;_f=@O2^^`m}Y#4mIaI6+k6u>rW_9l5Pi!XUd(8vl~sb(Zy zSy@TsGh}HiB%?=xW>Us)^QEI`#qv>ojba1M`Cy-_$k<13WOfO-_;*Aa(|67W{X>4B zP9Ha>f)^T|hTk6g`AXnp#!Ykv#F&jQ84sV@B4ao2?ir@BouEK5M#`)?kl7JofG7oq zRGqD&h{~5vCV=Lyvzrj(0!4yMAug^3c*Wz4kdwr3y=C*;dH?v-7SV^xE&74akvs>d zyz(U?Z+;~@vqy24G<~|!2e+Yv@Gur@dbFRb=i7&Aa`5qg7wGzTuB5%)z`1374}D&* z)KAFU5n4eh0$I6#Yc+mOiJ6(#x`pG;u7q|CEAV=g1R3N=>&t>>nT|7^q}~6q8Q!9t`Z9@uiv)0YT5U6 zW_s~l7w_q4n8epu^Vvqj5jdN9DY6(E8FKMmDIH&C1HJ(40FX==2Tdn*TMar9bn}jz z1$cX&2g~ZW_RZW{T9mQgmLBE)1`2e__zjMvD)U?LU%00)!}MZs*iXOyXP+0EBOesK?miEzFTF(+@>O)ekH)K;(UZXV zgB{*VsB?e38)8(k55hj2eS82|USwO-@yyF~uKDDv5RnEm`a#Z11||E=2Y(}EsVBr?H`+~C#G zEQWfGXl9~Wn%`1S+iNO8;DjirFzF!_5MWbGq_Q**6nAYo8E*iACRGNZm|F%Ei86(h z;cTH%OlO2N?a)@e7PkCkNm)rx%1}^SA(``M-lbrwuuZe&d+xwOu)n}-IJyn^qc<2mu)ql0@l9yn~>CS%rbqcv6e@@qwI{7$LfE#Bw- zjUXPFXf;Rj9VpY*UM6uMZ5-M*(U!2Y%@v7?TMz`AR43SPslrJFGy8LIcsZb7cHtDXfI`dKFjk^&J|>Sv@mJ3oh%l#+-a9RLH=W~Z(I{k8 zDQBZJ!a?}#33MtuQp zO275zm_e{3VsPQQJu2M6-6^XZZwsxo+L1-i%Urs%`4Wa#-Rgl~sbYJV~L@T6sBL%B5SNjBDWg&$KN=_9#;q6CXVJm_x?#nJ{e8BViUIyQQMk!$4^8lGbFk^jiIC1e zkCiFEGwX{YSFx77BJtv=vDuI@f5}6JVs5F67G;!_m0XJrW%HV2-~eN39|TyrxqzXT16?M z@}-jrpts*wSRo-t4&M2osqoC;6LNq(4 zASjB!_`V$xXgvL&mAvhmDf!4=*2DpI;P)KX(ik{ngWQHr0_V(1&*->(ZJXHj9K)^K zv~Alvu^yx9zXFet|Cgu0Rty?bdDVk!>f;c{cZTBg2@3ZugWqCA!?5_yR#8Nu*kYS& zQZ3DIQ8!U!&lJMU7YopKi-NS_bC~ducEe@3wojB9V}%SO@Av(ICqucW#?GysGmH6( zk3fV~ZD+q4V^I;;W7I#PyxxcWrt=Yz2bj&U!KVniS3QqvY59vb+R}=7(Q`R`ZTalO z&<1H*VT~4i>9mAk6LZ7?5%hb>^T-TX5Au341zA;Q!NbEDjO;3$63MuN%`M)&ycpdP zt2^eF%~WLxPcb?+)^Pv-wxLd>J1IpfDET)nQ#0ri#+Ip#Y5E`f>*zn_>J`%sE4|Jx6?Tj^AnsSz`0L z8vtKlh4>Q&dbvtg6hterIvN`+9p7yj9`L+WOq4ccNm+^Y3}v?!lF_3;Gcr3NUpiP7 z+1pgcyWY>Q z_dbWAfp1?~My&1`N%Z14*obBTPn(50w<(+=45>0nnB z(NsBF7s{u9H<0ST{&J}b^Fe?*cnFSu%?MIQA*iy`>zRF21&xDuNpN`uH)AMZ-{15O z&pq^`-ribuhhd2>h!iLSh*KGJkTq4f%7fHSh6iQ9kf@_ov?3~%u6fWVJ+XObgd%wv zP$bF}QigM-XtwSNrb? z_8Z-<&5P>jSemc!%o>GD883c%Ua!Wk4s`2(1O8)EhR$iw_wOt)bV0a>=E zY{!EGphu?52qvcm23{+NM2_}EK4 z2_&?b`?zS^?jjwE5JLJinKKH+WP72cp8_;vB{mLzV# z!XLtvFY_g;d|)JkDS1z(C3rpNF-n>HdCYE5=&8f4ZEj-C_Ke z2M-N~N{JYEIQL|5m>g92ARI*Fw+25k8Q0w^bmTgHvWc2J0Trr>2QMY%2>B9tbhe-m^0gS;1cqO z=wg1``O(?241{4ic>E<){^mn8-?*lgiY{+@RcHCFgx&Ou&jRq7@{U0duSzuQ`?|&1 z15v5nk}E3?b(&TB*M>%@GnR71BIZS=`Q6`Rv_MPS9iya@>@E*eCFQh`HDjI2KMa^q z;jk)Svaa5IYf%LT&v+@)tJ!{>?KGh8AP+=>p$B3LVcKFbFVY6*$q^nl)zV>+Vw$u? zP{drsw_LbpJ(f%0Nh#+<&<`jcHfV z6SzD?#Zbl13GSn&s+>B%?iM-Dbyf3ms$9t$j;OH{gRK~h0samz8t^y4vtA~H=}p(D zD8E78Nc!wc!Br64FL~&PB2KajTN>k{$@liZwCg9#?L|7;J&5H&{kseig`i=G z+Rs4{{)aw{QpQP+-*_y-#9y3D6>cI72sE~0z?iThMQ5uhqF9BF($jNBlPcB6@SrJ; z2SuQPR3yk0!pud0B5g(pFKmXGra~ks1tn$CFaX0{TOk>X@eQrGK{BQyb0LJ%TOb{* zitKGFSN2Sm7^_NHqvuftJa>@b2>li_$so&wznCcePtlOr@9qJkcjGhEBmQ|vx?rPt ziZs+~)>p$Czw{H$W+4D!dmEYuZB}7MA$UQ7&1;IhEVj_*npADYHd~Mw7bp^B3UP70 zsPIOlo?GE+N6a7=RP2bzWbVacOm`rc!?jTqu-j?9N#u%z7VG3U3mG`D4|i*9Z6}~j z*{oKLhKb)6o*x=7H-pz%NSENmSzyFfG`@7x<|%2wD&&iajEh!TA)0%`T@x;dACh4a zoMu)+!YCHDDbzyIISB_=#84I&*qLgt5K*l#*?Q0^?Ws<=-Mr&rfu`xl-KEvtB<&Pl zt<~MyaSM+8wY;M8a!zBFGU=L8(n$OFIad04#I~(|Qfgu4Rcs$FD-rfXL zE@U_9yNOk30qZ7<)~h(+L8f&SRZi}C@Y_K#(h&G9Iu7K5!!=^=nlW*Ld#zgz=CgBC znwYeTiU$^o)laFqzbCf^(spmDMO*x8$!{j%cYq@=6vMeb=|VXZcl~dVFXE!xic@jO zl@L=JHE0boN`bcPK~hhR+kY!lmtqtt*7=1OtRf|4b;(x90ZG~j?D9~)E*}QpL zsUqoM0^U}?7sVQlbg%!FxcW2BcneEKW*fQ$Gm#7&2?C7Y+D|%Q{%sUMmX=HL9y+Ev zMh1eT+tHmE#rQ_^c`!2bpgsZ&$JG2;R8ixAw{!N5wE-j++E}ISrQGor^@O+_n=7Ig zN^kcD5NJ|Cjdp}$ZW&M{$`n$DvxP=6oe`4Sp}l%7Z28HOvX;efRlXYYnEbN>G*$vt z<~Wna=B?WUcSJ&-afB{>A##A@w{wj(nv=p0?2$DF3)W)2uyp+P;w>^kS@&XV?C!Ne z*|f~|VlkaTA$t^h>Dj$zuf{>sPmr)nI%dvqL#j!K(l3C<5_YzV0@~pXAkd^b!G22> zPFgU_uDMcCWY{Dn`nMdE!uV~7@mn9yd3`Y(h9y8>wmE*gf7{HwmmU~YHvt#L=L$(~9)P#Rc@qC&$d|(+ zYhFBb1{gxSvpPM5PQv_tr>d@Q-TFACulHqbsjAL7`{V4h&#rw>ojO%D5ZX{rs$Wh~ zJht%LBEx>}G+2O9W4FPkKLy9V{6oflLbeSRDF&xi`<{h~9*0?t)*3+e+e_A1v;@Ik4Ezr^z(t;s?6h;Cj}hG{*`1a7rvN)lf@Q z{B#nr^>W zFuL`(ZCMhp-{uOnmAFs02Nv+g+pW}@1<&u1RH{GT!W9n~)R4p@C(|_vbP5H#Ww8X9 zzwN^@%-<5aeOK)B|SMT^1!&*$v>f_}4%F)ox-*V0sYZ8Lk zLV{%P5!F=`u3-Mw8YCj_d|*Jp)ef(|2|J67TaJ^BL~@1G#b$J5r!bDaV}8Gl6@)c5 zH$ z%5I64gXCVzb0Bk1q#ODxG=9H@EI@?KFhPa;v7%fucvd(jkXvlIK10%o@qr;hp;}h* zLIT^OvDpdIg3^&BQyc73z;hlKzZf~TQjuX-uiW7In;pp32 zc9DKPx)DNDdbrC#+1v;`4Qjzki|ewM8q`*@k2q5;;Cw_|_$?aX{3yhv!6xJ`W;ctr zkqS8h!K*SC4g2BEC&_cN?azbx40uZL{@Bqpi06MUwNGFSxJ^ z)lsDBn@DrHbUt|+TkF{0;g4~lag=PZ0XEV@<$m%7%OS^=L zCb%5Pma#7BUAeyb6fq1C1~1C?}G zZArRo!e%R}L8{LX!toN@1X2uWT(V3q48+1D2!NhRjhe z*<_;Pjc}q#Iyeov3(e=`zVk3|aO{Qdf?nwbT$Rwp=48#`XqkBpw!XLaTS-hKV1#W* zP|z#)wOWJ{&f*Lyw z$kGauzJR(!$ER1ljq61A%X@f8EjM4e@#T_|H$YN@oAm3cOLXemR>yEk%Dpk_lxQ(f z2}9pD!8=aqZd0(Ijj?2#;2S3h#vrE^cF?xds`p^!{H@trNSefJ6Vm^jP9yi;A+sOr znhLyrTf^7B_zZD8rN;g736)~dwAji+7#21{2iTUfOeUy$oK(=mkq(q>Ugl&n>JN(d zT+nxwBtx3HvMNNv=11OmD|nHIrDU!wGF9eN%>-4j)<6023xq zqKB^+Q&|ons1Nu7tE829{dQjKugj#;PD9>6#Y)xoZA@$>ik!(tCWiyk?TvzDU=qey=U?_<=ve_2d_I6;aU2n-POl(meV#nGJ+ zIV%y>{Uv_C9aV~}wu&LDUOJfomOGxI)JL&xN@KtOmkua&o5;{4Y2 z?ZfXI8@&Ytw{u-{mxP?q<4E zm|*ybgsmn}^>^G{f#R&l&hSj+N_MO}c$D5>S5s%WGrrt3X=np6HYI4~w^#}e&xJ@$ z{fkbPpG{^=tb2K{lV`biK0EF5jXQe!8YwI`#a0P!75orp-*1Nua@w+P?rPh$Yl$;= zs}jP6MG0)k_jO8}cneV@i>;2zSv`WVN^pNv2xb*o!Au=L#HdM-hqE6Nrj|O z0_z|h@5U2MPLXEaen)=W84$FeJi9CqWa|3YgMpBaXtA9~52D}GrEsf56h{Y0Z^A>H z!FaQ?EcZQ?Dyhb~W0#8;*hnYjQ@NBi8_oe5!*oeVSs{|Nf|jy&!*8jj59SIz)c72_ z>kobhiv3~R#M^jEUxFpPm%3MWCA{yzXUE<97#DC1{@aG3EThQSd+tjf?cmW#XKfrB zVFp-!_B_h{cJn!OR90(a+rn>0lr^92e#P%uuQ zM;*cZE#=rwHyt@JBYNUa?5~!t7-rjnYENd{k?vFNTcD){x}Xcz;Gl8lhdkr>VEMX z=`FBn5KY|MmCD3cOmr8E>4iPKyomA4QHf8L6DD$%HeT?ZW2G-wp;B@`4+)#<-Ew@Zo zp6DMNJ+Du7t|tr|$P<_`!D54H)1M|~hW0Z|v^vKrqou6uhfpcIP)SLT;@lM@XrGD9 zjbMPSmkuNehE%>T`z{GFO`zcB`rBs~E;KTx8k^@4e%bt|t{1L76uysfm1A4whV{3& z#QJ$8eE=ELq@gUXzg=J^?-r(sDA`GK43D({YbcSGRxyUCdg){WSnhItl$pLXW*Py) z4GBt>G;)=ZhD9YI1@GvSkhBr_+)7JX?ftff=7B(6?zxGw>Sn7ZKTg2k#K0 z3r@U86!W*YqvPHTSdPs!X4>ZGCCPG=UAIV@Ob{q>1YVkMlYs z+#wq$WG7a$$@Bp6=Yy8t-g_RCvxFYvE((|{QXzXKq;yCUXFx3Lss}7F!G`&xd z7bMPM^W5;!4j1g_Pn!k&zP8J%MGrcGj3LcnfaaRVWu-g12?HGAo619^eeYw|Vw*?E zotv9ywi!4&=tHlcatT|^?q<&Hrm(X}R;w6wD%-P-jtIchDk>^mkqx%I90)C6p)i9i z9Ci#ps3vt@SJ1&;6+3){?>-(qkUFg!VN_5~4EppC-VEi-*~mLiv1O)RENM`F7i&4g zMYWn!s&7MOM1^77pMIdk_aWivA$CD_!xv6k(K~a3Pn_24Qp9xfti?ytp35`oQH$9^ zu2;y_WK2!@3%9s^hwqM!BA+8{uZt?Yu0mhU%Ft-*l29gwcM?olggQj4UCP`|Y1Q_v zYFtS_o8pUmTg;YoK8uw(cDJN#nBA4XEoqE8XB0H{agC-Iq)grpHsIHb5oy!QFuXQa z#r4XZ>EbQ}VV)Og8H8AWtF7);*_?&P9xm^A+yu8WY%^O-b&J_@?lk+2Eo-#B5<@mN zhP`F637wDELW)h;dxnYD?QJP5^C+e4LM0_V8Z;xb7wV;h)sVfY@^xwRiSWY4FTqZ| zx1b3>C-SJgB}rx3gMlS4zK7m7`(je~^IVOumg;5c& z2^Cu#ctgdl2Nde4dJ>*=iT*Alp_=H#+4C zXHk7?^=dnElaZm05NQT5>xy6nSFfEGao;#8Xrb9x(H&{sjvUoNe_595m|B$wnUbxD zcb~}azQ`A}MD4deu>GVz5n~}iB2&qF=(xtx6PGURAn3TCQ>HcFStfiypVc}d7qV;} z)>~dUi@elZBd}W|->0s(K{C&S}Ni-<-jImM8YbSnoNFFI&WE`(W5WJ=$hyf;O` zPs6*y=n~`<>u;yz?bhU&+M`V|8!=w%cWXo72{5<@0{<*tM9_Q`ma*xQ>E&-yHs2fx03C zgKI@`o1$(?6ubMpD;G!B^{O7g8Tsp6-I&9zlgeap;`zQ)FCloN` zm?cKJLzrTmY6X@G9K&X?^||zL)I)wB&T$LCtF{S|pU;rQ3Rb$nuPieL8>%!N9HsE{ zV^Pq7Blh@K##X?g=oI49lOnfhKTgH#Efn+0FI?(*sn2zS?K{aHS;jQV&3qx(dW-&` zkPQjeVuC9Q#WFAR;tn@hI2}DXFaqdHMpdJcH;@PNk(5Ja5usLd1+&pdNRiuxzAD=l zutUE7`L{zk`|M&e8|26KCZPwx3uFAG+g!|i@?rRH1Ya{ojC<;Q149VK(>v?OLm5kN z{d(#!=-w_S@xsYK7A&f<&UaDDaK0nQ_0;@=MCXCwR5jk)fo7uIAO3E-GVoP)`f`7u|x!hMMO+ep4t`7A`r+TRChNDijP-1=LV+deXCTz}gqt4o|K z@z$_`G&8%@VskyspE6~J%`{A;J;781(2rWm$~;IZtx!oxj|R=Woj_*-QOB?vXl8Qh z@HGd9`%0A^x@m`V0-tmc{Wo7b5SRzAl_f*!KZ|5y>iu13%Ee0#P5%&A)+n}xcT7q5 z$4|a!&a1RVXBgb)ea#%pFPi*jP7Xs_Fp;g3?x*)4uLOT__0eOop z*Jnr?F->5BG$bfgn{@`u+kzosNk~D{J_$*^&{9@=zjdFav8=bshRyo0mg*kLOl8Pl zsdAIr%$FY6?`^MbeJHwH0BUpIG z47laW`=qxG3^={7aE0m(jThA`{K&WMcIyCUnTFTF^v&?D29{lbzJ^slmySG&id>$k>Te2K}z zPIzx$B7K&Si!d&S9S5uu4c?Tbg!Hvgw8aT)+%JVIbha%I0 zYM*3JE#U0}ZBc#hT|=a^zH5Fp~wbGBbd0Bq6 zd1C+gg0z5h4Da`J2Hb~%*9Bh@Gh{IoJ15rk674cE7S0`g3m?9@pt_3dBI5#XCUYDT z=LAd{^hYvzLE-5Ao{lY$?`KYj1u|FJTGh4{x%GeN6e|oVDvt0)QezF%cJz3F(ro_L zsTcgzi-^%Ryg%Vs@QqOCmn80r^V{buy$lb+Gt6>0tnuSGp7-VR+!TT<#H>UE%vMSq zC`?QP_gRE;&7gs44vWqG3h{hbW+H5c3D*Zq_@Je%%=$`kg-S|#G-x(E5b`SZQnY4R zx>}NEoQCNtm6M07oodqsUM?Z$Qgt<$h-IS7SAqflJd*Ck@9*|3?S@L;`03{*P#|85 z_a`Ks<2Ovo0z7i5Dc(wC4LC+V6<{7LkojKsu=3G0%j!go-fvmJ|f9uRb42=1z+7Y8r0&?Q(q>o26FHzeXhKF~i*~<6LYd z{*jT!cuV^9x0;W28eW(6@3KuzD$43$vf5iWOY0c-%g-na6a&?kRIC0G-}C^++Z3i` z1gpI)GaO*yewI;YBJMCkn8~$J5X{L6mxDLPTgTSl#klV<_)IUJQ>%j(-#N{7sS~kZ zzDpKp=qBTOiLZ4qy? zfa>lpxJz(&)x6v-dN7Z*yoQ>IK&J+t=$3=e-GE3O{4Lz7ZvHkMB0!b-`D3`*wgH`# zRnh$steYUJ_SVhPovngws(K$+V*z&CsRRA$W@lHXFizlUlO#HW#E-al%!uGxDjA%= zjYmE>;~b9fzZIRhQOxz^Yl^pNsFhJHXRtj~5qBRfw7WmnEtI-UT&e0nVVULZEHPA@ z9>K_Dv8A1XqcX$O+%VDFXbSbPU6o4>{STbcWrE={RaIGX=ru%;k8g!$XalM_KWY4LDm(mcLBYQQ0w zzjfa)d-Ag*asC{-QrSU;hpaN-qLoQ-E4CV&8=@Md6D>_{k`grU4bi1ant4iC!;+E^ z_J}1aS z5JJHFZ?H?So1x!sJTl|f-v;j+GoQKaiyuN??4sKTF^bzJbJD&UTqd)oWLH&VuKMe zE7@w9c!`t?$KYi5@ICl_XN%Hh=oD80ZQ^YO+kVlhwLrf&_n=z;IKjADt%y01 z{dfwC#!!GmAJR23Gsq)os!5jkrn=_wtsyf9YYx!LjXaH`ZD_T3K4C!4*_~9X4}+$* zrb!0JfW;vUNgLsEU|Y&EnV{-%(tsZLoCiq0D;`=(wdGp@MuFPnCC@#Y^T!7;HlGmb@WFY}zT8MqWRdQAr6)mH2rFDGW1}`YAC5 z=`3gjy|T5eUAZo6RK_7s3^k$93!pHhDl~r_1?bas#+2Av=!GdE2?}<4N)-+V$oxZ; znF0w5YC%Vb^#X4$i(CbTbA%FOxod=rDzxca#gMgLI-3Bn+!dir6Ycn8A!v<6K1=J;=%YZD`EthU~3w)&B#&sh5gqb@s*Br{ddCUfHc~2RES8rqeH)kib@$6GW63t5 zdKO``wCN`CHmlqk+YZ{cwTkwTdK>t?CUYvjh0RaTL~CZL+3CZq#@)?bNGV!VI{MU_MYosl-d z%eha`$^w4BO~v3eU$Xgg=vk-aE5`>anx}u`&O>k13f!@2KEZJ%Jl_nHI4--QY)6l) zffnl+YdH6d&p->wA)l^*7US%_~R;Q}C_RCJO1>h4t80_!{S{=s4{`uRSB~nZuJOX!Q zu*G^XHAmF_l9GUfE`(VC-p828HEj#d-$GC+*!f2 zyG5>fJ(vx;SUi*9eT?htc-p=9{P{@;S*|)Pqpx3AGtwsBDkRld#Sk@Yj7iFKXvY*DJ=iUElhI4rIxZJH||e z=g^lQw=Z_j^Tvq5Zm0`|-BT47`GNyo|AO&bw)|X%EJUc1?v?6uRDQADG$VE-qOE0zWtu+Q{%eS7fo>dsvzviteN=o z0wu@{wiRr=J5&diKMezgb$t8fXO;!W{!*UjiQ!m2MVk*=Hmr6HVWmKbKvo$ zIo@{23%A0{YDB*d*T=b#!BqNWqhYpRnBm08riWIiKxuO7{8%c;5&Orzu|TQccC#9< z-&R$I=Xqm{6E;Vn2Hu5@paI7T&Z%wKwEmVK;{m{DO+x3K5WEV{6}erHd*&?@Ls0Fl zo25hK``L5I0t}B5weed}T72hpRqPLCBL%#VQ55sHeF%OyWYl!uL+^x7-3P}-7!8}_ zt>aEE9m5$_z{u(E zO6$QK&i{EV597Gt=5PNjkgF`-qW7_;cne01YmND}K+FT7JlR{u%0tKsUAN2?=Wjc# zq~BjH#tF*`hGNI|tzw9(G=~yHQvxh^g=+gYCdLDY4GBt>c({hqh6N=deLM6OB1tP~ zDeLt3ZSmVYXpdo=DphWK-$6Gne2I|=?n7Cx{pjqnOub)1iwygAIX9)=GbWFAt_^gS ztyEaaau)clvs1YVPWuz;VF4m?8k=5wV#>(awUY35hYt)1;^T*G*wbK4?wsCaxMVX~ zPMLDiaET5V?B~xF3uM0q-sV`wJq}}%N$JJva^fEF2`muCU`KFX+(Tj!g=;OO_k^+x zwwsXX#WKsqIT>%vk< zaO=#zBFi)#K92Dj+*RPD0D2l9dm|W`c#4BJ2PGHWoX5yX%12-8Y?)=h z3r-Q^iCgzc2j_HMEMS-bnobz4Oi0*$Wlr1Xcq527e=tK|HRK3mx2%TAeK?aXu#@{` z3BurBfz1TtqJK3MP47ZP2)}%Fjm@JP#|WUDm&cG84RP)Ge?w>|j`&W%3tY(MovPB?qO*6xSQ(h}@FMhV4+KFNNbNa6*Cl9sZv zsH2owsDud1(p(R|!?;+YM3c!21}(vg*RlP2^)=s$WVJIkDxANa{cO-eZz#%j34;0C1nU^3*L_LMfSZ1o1Qsr} zq~Bi+`lcvUuS`)5!^EI0Q!45Af|54V-7=kbk22Gj#!MriIzxiNYMrb`t}@cFs3fFs zhrU82@d7U%TFPqgw+&|$ZPy5Mz!sWNI0t|xzr{e<~$UBYT2HTZ*@bUqSKbFAZ1`4Q7Coy4>DcrLZ zRd65{Gy=|IB|)`S3{jQlP+~IOEz^Z+b7_nbjexc}JC!SGWZOr2EI_-ako8fXtv|-S z5qYiNAxaX1dk(*JRQpnJ(%luhDcgJfSicG4=$K-=YFH` z=vfQ??U9)6v7Pl?&ah`vE4J4WiYB;Gbz@UV1+W(WGzVYm=Kh55=?tt^9}&9_FVscQ zb|}|>K7brV77Q-%cA0nuHdg@#3OfOR?K_d~=gm(@Kjk@0u}4POnpg`Di=}66X6P7a z?~GxF9)@tthsk*>0)aWiSk-wSOB%os2ST@!X7-AV(z;{3u{HfN=KVvx1x|Im^#%`9 zuWJLQT{AS5pyRmHfMj~HKPrha7$-Q*EQ+y>ET^v8i*CYK_gah-0#R^+a0RbhP^H^j z`f4^}a+hc%*bYR(k#aiZ*be_rdCtglu1zS}X~V&v^7e9mA40jHtc*-eb;`3R8x!eeOfLMhw2EBi(zZH=h(^ zVGYF7K*X58oxuF9S?+AEW8`g)pi*I_tS)!WV3hc3s~Do{rIQI@v9WCxZh}HD7*dof z@v;>O46`L6u0Mz=G>-*dXeq0`-@0F6%X&+uawR^E3QZ`S72q`Fu2i|zPpPw0h+DT4 zC)ZP14@L3h_kAroVJX-t{5c5~Y5U}1nLB!I&}E?y((nA-dQqZtue12z831f(M6 z<*&H0CNHi#S|H%M8wSVz!XOl+(sA^itstod$~lc-Ph;ILjH+8XN9qMrA2!mH*TOD_ z{W8s2gB&!J>vuxy9@_3(NRAZ3MzZG@)LP_1cviTEF!}g|Oa=Gg@v_Mgc`*Mu`z` zjr~P(L~_Lv&k!s>R~7Yf?+|a>^A88_uDeoeSFgeSGK)W%eHaBEz-0nuU>z?O)o7w5M*)W<=~^%r zY!h%Z@3aDJapu7pr_|=}bxUKZqhmX~Z80EH%t?)Iyhx3G>5_A;d43PQ`&j%=`OHlM zx0TDN0XlBDi>-M&km3fp>cA=7z9u1n2xe?gg_0oYf zWFJ=9JhYa!p2%A0;4*7o59`K{eRmXI@fwV4#{-M`TZz~2va;~#$jq&O^785Ai%;Xn zJ$xAg|8Ll&^Ql#;LlL%p)WD~uJrQlpK|>aQDF{~Ed}ue>-QH6 z8Uf!DRuWWO#Sk@Yj!o&A?v_-AYWvcNX@VY*h6JTbShxi+q%8^Q+o7)zNxVRxYbk4Y z{MI)LHDU&PNQ>tHv^8wgu*%T}uA~C`d=n^qX#TJ9tzFbGd;4|K#%1HU1N%@kvvJA2 ziS#};B1b;)=2$kK1IgtF#D3;;xCab@^G!k>4y(y7L*g}ej6K=Km^SJ?HNJ4$jW3JL z#`nqML0B$RII<)!I8cuzO@Izn;tL(yj}?ZfVRP)J-{Q7mU{FW75*D5=XmhE2N_CqX zxUy%(?5zUiebg`H85_&!w3bNwBw3=%MFa1(SeiIBv!R#DsElApi}&A#`o^#)PhKoG zIjCaaF|NVfi=uV8eJnd!hb0Z=A(mQ*96!W4HLS*Y_K=L~10ubv!#I7rqgW!aZ54cH z`c~y_ksfW^hh4Hj*+Ut^GT-slG4j-uOx?;0vJG&#Zc*HBg@?u#d7d}nVkmdg(eMu_ zSLccccA+S8U2HrGRzBK!K4F%6^Mqdjx%S~)w?H8TxOq|pCES;4(e4-@4VeL*5xX)2 ze{xZp>m88Ls=jerqI=+M-5EIc zQfOuFALH)9#xapQtf`Z?Ssu72CHVa^H3p~F_}@)qQkZuPZW4>{lx$LNJ>_lI)u zHomDFYp*&W*FX3Hx%1?B03Y{&1>WE~FUI%FqUAq6c&PW-cs%~rNptX-c_Pw)O9NVL zgZ*U}SM@$pobv>Gci*APZ@qHyUQ??|vP!FT3{kl`EHfo8UVxo(Jj09j*uH725yiUj8Jo#WEHci& zcyjcnvBRA&#Yr?1aaz^~E0CiIu<{O3)8nZyCMFx`hmcYWrWVr%(P=}}M3aSrCK7Ez zw#3o6=QPk$D!~*>wP=YpuH^)z@3}pJH3|-r9ztN)rN>UdOAQ>_)sLA4;B^LL=E+vE z;=Sp0GGA{4n3Wat5-|~0nBgu)od83u7b;@3m#3DXPL*1QeMD*jewC&PYM-XELlzv) z&uZxZ4pfZ6fOP0$vq(V13|=dfm^e^H{!(lb--$@P$|3DMHj8ZoTRVucz@blRkwVUm zW}Bi~lQoEQLAx(?X9?W~d$if4ZE&9}EZg?mMgzF{+g9Jxp_nkDLlF?B4c6cOk{k() z-1=cX`q%^J4fZ|p!;|K)#j9$P>F`S+t@#OXBC=f+br&U>N?#_{mA?z@n7%tai22*) zL9&Xp&u2?>Y_lq`ixN_sSC zHqEb!H^I-FCh4de_$rk#fBWIDGzkXoqP|=RGdK@1alWLHFK|Ma^%T>) z|M1fi-TIrH)EZmw{qC!}@@jPY9Ch)5c{T`w%kSI3T=22v@s$y|=RTYx&wL-k3D!SU z_LqAADDo%`zl9Y5CBL;SHQZH7S7Q8rODZ225|k?Oah*$e^S7RE!uU3`b=vGgrrr`7 zEJ~|!LQl<#U=}wLeCISAS(NC~i)6jW>$;7LSVW08t)u84Z+ zV^MtMk!iVS^|L`o>`$U(Je=37QB$Z5myPN%2>o_1!5?pTqjtvnvl?2&+ikQ@9nW(f z7ht>%WMOGC4IXa(wu>^wr5Jy&j^TTJ?z9OPvDW(`jt;oQpbc0M*&ZpuU=5`3gj0&J zn15~Pwl?t=QuYj5HgM1CKT8Dj>GJD=ch->QE;!Cl@P3y*>)1s(d98@&j|BfRjB zq_c*@K|_Z#qRR#w zAaqYe2O;Y)PLUNLn!x9`2>VU!dyX@EUc=c?fo~pco8ts!Nb>qE4fNiBg0mEcG!G8Rp+@rWr zjQLw1`25z#zvp<}of-_qu$x?jV1}nUO{>_@DF_oxwEl5vDT~zsl)FMDB|Vxn^Tk^{ z{#}qhT9A&a4eQTTp0muj=3@xj^^Oxj%;(TI!pX2Z@$LZcPe2LQ-^zn;U6{M=(SH#~ z4;X63jKAgh2JWtF%FF@N0SeN|1hCwd5Qe4G+%pOo<6h>SjUy~q=Y zzQOpE#<%^6TKzEu{)r>&@{Xl8lVFG&Sbv+yi=|YXN_}Z4&^hs#%t=dR&0>w|LI6gPq0ZLN}(X+}suOe9>LUpn#!L9>5l1 zc~|yROMEjR&Wh*~h~Ntois45NnkOob#-sFJ&x1kNeehbKMZ7g-A@r>l&?esEtbkL- z(-ikG-IMNxt0^YwrOHVK-b0$g!p0tkw<3?X@?2h#x%ZEz&k-8V{N`ZtMEPh=cf4i8 zPYo!mp;ZhMJYN{1EH-C-cCs=vU^r&00U@SVmZ`Yf136n-sKlUPP>-of%`BPxemjen zWYW`Dib8snNmo{cT_2Io=x5E>zwm^vmv4aGQe0T9MAK3{eFo7k!-s2}9-*rae2rj6 zB_)i&ZeSh_FuBJ01Pg815gDSOaQXy~N9pe<*+XnqHt(Q5AV^}cWp;AaZZg#(G~*ya zEf{#15?iXImZgk<{)VNH)!?mt5|TE8UfEh!_WP}rS89S~X>QyG&6ISFaH2^%I1RZg zn@>bD^g>wyg;LQ%6P|*~7KI!jxanF&W|M@OM%ajqApvGJ@{h9c=B|60h-0i&Sc#f- z_@>=OszetQ?nf#^RK0XE0W5cHb=mh>(g-@5wyji2Bi9fTb@wRXd~Ek(bE7D(cRqyXj{MbEbjO7r#ivk znjL}HZ<8kR+JyA4W3;vG&4O#$+98@d&Fi;2w)t*2Ub~joQqcrTEE9$aD%BtDl!h>* zXoL>1EoGTZP^l7!DCm)uq;Gz;tRaN9bV;w@YA{l$# zDzAsqM|G68bC*n}w^<2g4UPs|{3cCE09k!gQZK`9p&BgnVVagPgs*4RK#`opn%PkVBfdT~<{L)kXRrxwpLxMhQY`Z` zIN*(V5@WNR2X+9NHDF}lZ);3*MiuXo-!ee9@mtKY9-a()m_^Y`<4GBjZh-Y*vA+3e zG@b-EEt&s_w=BIC4%odpQGGz&BVeHR!isjKD_jp|9>Ym-?`k3^e?`W77kk6?3&n2@ zZOCq_AJz6rNa}@_va(U0QXYegVWN_^K{NmO7DDT#1Nlm9?&+CA^Ea?cyT$tx@OA{1 zp7wq~!AZOecNG44*llArQ?Squ@9SAE<<%3VESOzth`v} zzYxfs_y1h7{`3dNB>vcSV(`qGTJTodNuAIZe(MOUtzsynUOJfomOBQ0{&Fa`O&c@g z14DvRB|fepykWj1q^uB0T0u)$+wfa0nQF`*Z*5rJLy*PaUBfm(Wj?v!?jpGUI6Qhp zk~ZVp-b$h&*ucf5da_Py9f9;IDD3VC7@uM%OT9dhFWq__FSW zJ=W-jX}ph7ZXGi>{m}munJ<3hfn@ByH}DnFslPyBoCFmP)M}idti9SQhN$Kq1c4=0 zsWS`;sh}4ODN2=i+0G>bzu&fOZ5ByVYSjS0_HF!DejFYE-bnt`#A74t8ctodoXDHd zt^YAlyh0RLXmi2iL3s1hZ?hmf zmsf!2w=B~%%d-EuNKmB{vr~KmGP<3t5W$r%_cI;b!LR8;m}Nyh=^0=`buS_zz5&3X zZtM-0Hv6up__i_@tj9cy`cP4LkGO+NLAGNvru=ypM6oLd+9zEDT7)MT#7c zG<`4GxYtHHF~U_i<%$o9nW0 z1@~Y#UK~x>w=EMdgm;JrPOkA=~%%JPcHV+gl}I>Ax_liHK1 z5zOBv8X{!>(Jw?}x;$we<(cfa6;0WTBKWPLTw0RB+F}#-9zupFHvUd+lCZ!FEoEiD z4N7^1N=kYZG}Bx&L#8(4+w_q|5|=bX+3X-<85zUoWB%4$)WUCde!Z*5j(#^T!tmxU z%!7&i8Q$?R@y=kbXJ(S4H%=xq^WVUSb`Rr@!=*;#$3Kown8#PeM{Jw3M|?zjZfBl}f_%`#8u>vjYrw!zxD)g?4GIjo;p; zFBbj64Wjs-PB1-=Mx8g3$kZ+9+g03vSep-z&HpDA{Rro8e|Oq+CU12<##$S}2wd~l zF|2F2UCiUZGqP&_Bndcw3%}J|;b;Xi#s?k9o@T#=+J10E!#4M`oz)bDSe5x+`vz${ z(s(Th*ISZCE0ZS=ih<=xzIqi8*5Kak`f?D5?+BKqbG5|y?5UNnL=tgI z%mX~vlH`#?(?&nHdZWx=EK6O(K`wt6lYBbNFkb*I!vb0sLZ_&LLb*C8 zsUj;}8He-iEba?Sm%|PW2^JJb z%qJk$Lhv%GLehm?9`|9-Es%ESo>wze0X%8XKts8nZZv~MrS6viX*Yu54>%pnuENg_ z0>!5ptzZ{DRyaKzU1^t&1X%n|ER?`uN$!)*YDyO>KHQrEXN$KCd9>a#V~IgaCHngdgJ+SiOxoXx?G51AZN67O1F&Xwmd;MF;eN5Ub9Sa@VBH z5o%lFu=Tr?y9VE>Dc`+f-91q+BuThHuLfHv*3@4LWmTDp@TaCV(3|147p}OMegv4m zwK9P(L*8(CC|!I4df`3RPCgdm+W&2GDEyXms{{>~O|AX<7(PleCcg;Gyd)UrcFwnk zWEdk;JGNw=`Lhn}&Nar#XDEe+;0bK8x$~JZL79oL874^L1?lREysi$kd+x8aN;b0f?Fn@KN!g$BdM8XJBjjcJXdUEt(>D1G>^I7H)xCZgWcqdveFvTs(EF%9A=` z?0tCF0j9JxN2Q(AC)eR|MmoZ3n;X*9OD7Y+a)-8+{nilE1ZZ20&6~Jh$T)4+c-J+n zL6~)shbefk)x#Utqbo1PQm~OoUw7&27jZW?=*Y%->?4WoQZO2K*~^m{2>(mDxlJrj zbR7)Gr!fosCi%Cq1~S(>-@RWKu1m3JC@@CtLu!F~ThJM{1X@R3Mr6MSbqr}a6bM$P z?Xyx66R-L_j71n!nUWdsO*|D<0D?nBvhM0%43TKREF|s)Fj+txxJ3+LO z5*l~FVw(^t#Zy6Q;frl5f}hf9sTW>3E(bAt#>uor3hafG%Hwtc^;7m~gERQY;?&t> zkBng8iJexh;DDa5Bbh-soD%_K4n`u}j7Z;`u#O|2<{=s91N_h-$zvGl@?`jU#1jK> zId?c&_NduT`YGDAF+#m6ZBG7r?lOi}K9%6r-=x~;7L^*oZ?V_16iN?5qYv@;5M3DS zZx`o~na?m7)XG1HtXNK@Bv$=imr+2LCg56x*Bg|LGNU0D_2Rf)z%PO`;w#^fXzU8&7;jjM(MzJ6(#7N+O*f<_=?3xu#U`|lJF}Ug{R|V{ZX^+*rL4@Olq`ixN_sSCMrJS6O9!hVds*c+*Zky6 zz9qULlhOJg{U4BpQA33J+vut5W4rKshc*8834BZBn+Thq9_yNkH-+;jPX_W@GWuW! zow4z2fl20Wm7w=uM<&}6_m>fmWeekV_Y7dRr@z?+8p_Siy4nv4fkHwP@ zABlhMZi72tEg+um1xDU6w)}D0Y3X0R0t;)V(p$ta{I0Aps1#c(P*U|hZBSOn5H(m* zaL?4{6@I%Zjr=|^Bq&wlSup-rnhiUSLjV^)+>)w@xkatZjlUsyC4g;p|YpK5HB*c`6pm zSt`qHH}kFNWAM@S1u^!AV3T!VxJ4h~XxJd-1NgRk3KC~fI)Y1Cg;w5Q zQH{@H6)f$GjF;4UDb8Vn%@tx>>AiL)Gr8U1Z+_r;akq0y+gBxIatQ-Z>C)%$Zb!JF z%0+mS7zJAL_yA)R+^7J|atB{?YrzaN31o@em>Y)PaKS0gw`trdR_V;E?l69vw*~m_ z0y*(L-FaC-N8%u2krxzXQ_(FM-N$)U?)dTpIB62$CIa*PssVrWXXIv= zZQf0CxtC38_)h3V=a5OSzD!z-J*v)NGnJRrAG4o?dV=;CjOB;G4CPB%TPoQvC|khR zbZFE`X|wQ73_r+zKpjg?Q_SLODa^G4P21Oq$$Q-&CmndkfgbidJ^&y z40kPskhBr#b1h|^8oxDAsMJHZd=)nT1Ns15;UQczQRS(X&Hm7h@R*{k85HR6`Wrlx zbC{1eK*D9?Vn>7XMQ{2^ky|iZ6^>!O&7o&vS*4_jSK0edp1gj^*R{@smyzQqVtMG| zKe|Dl{`3f@7ZA)=z9qL|0(i9E#)f=N07aGfl2t5A4R`g@$po<6RrxLE?ucpv2sgwx zS5i+x-dzVbQM2n(cOY;s`{6E%xEBjlda2)r4{3@s8(D(cH8=+i+X!7^Z!i%)XvtvT?)u zNEb^cgV7NTax(8}7E*`Z#jCQqDw@h|&}%~PJ zDBnQfakunH)5YpTP9X$X{-+rD!@2_p&DXTvGq^`IPn4nxcxE98HMcw-W=VodE2j|ku%_L(mwbtP}$2i z<0EngvC(-}R^|?EUgDL~V?^K{2xczAx&&h*!Tc>wVG~FX_a6Yb6}>$&Vxe*Z0kP3IYCMvIf+$(VO|d{eicdN`^)K+m&0WEv@Uys3GdhnKpIR4qo23V_ zm2XCF(9C-qV-vq2!PpAM$9gvylZbj@?b}ymIRlGTc)bea>QY z@qlqinTfC&CR){pSkP8h=Hg1(tYnUfNS3^8oWm2=4bHzvWw6JAgZmRKH0cpR7X^{3Rw+z zwbGp_L10P6zAn27F->5xR>P2>xsrMkS38uir%*^Qb~+2Zumy!6ODV6~hPh#;oUf5C zFHvU5_Xpg?e9+E#iI?m@Ssqjj*RlL%J$S8@*RzYFM5C!SgXGLyvOR7?57?%3LM(h$m zb0zg8LUt;DZi7viRTUd~Z(yf;4eX!eS-5t&y4$sZ*XmM(!@bs*Jn&Lj?dOuZ6M7Q?yk)1>OJj}T| zPo+dAEVgDa5+W$uWIQ8N9^q+bnu%B@mv{v5bl!Oeg3{t(#vx_BLhC^HC*b+l=61>c6VW4vxv_UJ04r7zfZR8rw2N#P z{!SrE#|N@9VD>iAyOGJNNyXlX_RgA@0hXXgljL?v?o1dDWAP7JaFMZ z;9GOS-&KBauHcP%D?a1798H&dVsEt(8%>_ldE^*ePeT&C(iVA%91RHUi_06yz+xzgdwi$m94=NP?orI?z8D=s4QE; zpHhoWcy=>DC^pw2hKbgVZ7D1J8R9{tXu%r9a7HX47^ z!G)jth6IlRd;24J*?sr;s_Ss%o8jm;0=fFT*YU3XdnN3B{a0oDH7fE)M{N%dyO7%$ zF?i^`AJPBwmcRPWb5Qu@_3;*hDy(8zYPid#TV`^9QD)dmfaMMdWq3QrOu@!1XaWPI zZLWkQ)p`(d_QTh2eDb|Vn&K_SpCyP-a%EvUeHFT2W7tuTEI311kSA-wWfFVG3Hb3| zq?lX6NWq110{SwxYv@osU-n<(WJP`e^}i?RpnpNJZX~#SEIu^th&{XGn0c^p2)*Xe zy!)71*FfG&$8lbTsAd!FW)dm=)DQl^&3_8Nt&O(@@*QRhia1$jhZ4_uc6aKVxeE?{ z?gECxhIm_Pirl{W&=f<+RrfMO9t!l=~*FNcg!#*~qxA8#-Qm}CK9mZgkH@#Dw%@wV)1P2$c#oIL||YOfN1 z@NxV85A6ppYlydRNy&<0jTpp6<52S=j}mLJzOP87-gp~`BEUH=%Chj+4L2i<`CFDk zpb0VO8pgng#r6@zP?c$<{D371AmVnE?~1~Z9D4`ZPehs-+RvW%pWgKge*(WfCGmEs za%8LQU8Z_LlvMoE_uKuA^X)&nm_e~7-oC{JygqrFh~+`}ZO=KyyhMqCM`SLsNvUgI zzjed`A~oND3&35mE=J4!t_!RvmWIhVB4;{(b-Oz^7=s(ZVx9xTibL>wE06(pg%#p) z%qurCV#Iy}JFn=y@q&hUdw?U^VZa@%fudMr z=?E^=HvxWflgL+jJ~Y6~;a31GWH8YZ5^$*-U3?5NzMT&x+L%VXRni<1gO!p!#$8+1 zhZMuW5Ad!c^}4sj z>|8?2iuR1mn)}ZWE}f!p)x_Ju`wk2R@JK+2X{+vpy5zehn#1!7riVzG1K-M}>YWE8 zxs8qq=5Nz5$QcSOE=W#Zr|U6ZdGJm_H`@1LeZm752P68@;9!VZi`f=2DU_RW{q?>_ z5pmZc^x0{{1!@WAZz-|?^zxhk>TQ2XzpbB7Xkgzd%8iJ2Dx?*^Ar&o2(_3uLFboRH z3_IE|(ZbjyYb`77>5589dNgP@y8fdJ^RH-%w@`>pn8OxwJWA<3#6`L7F4v;h{%aJB z%q_|9-x7otY1e$dKOt-}P6)2nP}VSi>&6*)4t-;8VM5lQ`oAJs{PxJ`$oa|Y#Qa~I z+q5%gCLjK!*n6IPaS$6;UvD02u-#2akYjoGwnsd?RJ|KEkND?RbHRPFNTUSWcfw^Px>e18HQTB2wF z^m?ojghH;8QFuk0c>Bv=U$%_Su5ELp5b!wOr8@OKnX`X865H_?0p61*PhONP2G_7#q9Bo@;XK}Ykc>gF zvEPO7^C}+P0nP_g!NwnVw%!QtKTcy^LMXXBiJf!GIbbt=lUuomFV2PIa8}07BQ9vN~ z8eIAZ7GvI(B?$c?mgaU&*sYv_@PUD&u47JZmeKKYvh#^_`Y!`ozc!?c(2IWciGM!p ziMK_TUCkN4-|DVbc%}=Zb5y{c|Fn0_E4LbN$InUWbe$W5 zzstM}jhMf^iVoN1Y^~r7Ap-LYzy z1Wz3J|Ne;AWy;gJ3{_0KSC*t2HVL#%$SvTMv^0@n0`{*PIT7uH%R$gmmdRv==vTUo zGN18=gL+I2YNntD&^%x=k6d!ci5IhT>gE$>Q^HVj%%x-XM`$uP4V9~7mr;9{_yBcf z14sRfa;FzcUcSM&N^@mRNGpjWP48lG3NDjXAmMy*GZ;L4p==<{0rCh7bDS(zhg^y< zslt5(@0?h9@!~K1yKg|xy78@2W{76Oe5`~*U`Qqc>A((vfszVuAVq*JYg@lF94`@# zfVPpa--HHPHF~7t4G*Qh#kW!s_ayCj1Ga6 zWiCf3Gqc<^!bL;c6l58)7D@`z*#v;)u7ofwMeCcP&^C}-l2^;B)Fb2IYq0S&E4F*F z=?Hds8MmCoCY=1d23wzmh0Or|marL4#6<8?QxB0!0gui67TR>26W3TKUhBZS9 z5A^*&wZrDfnNY*-wXIQBbt_X(_qKCu1X&c_8q*G_Z?|cE{gxc}#=r#rK65CGNwo5APTuhY%ZAt25_r31eEJvMg zGK`5LFi2DZD>ms5Ceenw1?~o*#eD}-5Br!I5V6gq4)UoP%pslqSPzxv*;oCupZITI zhY|Mfe%Ww?^P1ZoRZKg=TB?j+NkCf|Ca6?lc$T0*v4O<$&gKBRx!(8mL6hgkYI2M3CcD{@j6=9D_jox<-v>|d7+49(g1K>B)+6jg4L_8_s1fcxo6f`KN~yIjAO10*JGC}o@- zpk+1R`O-Vq-oy}FH=j^uVF}NI4o?{P?xNzL5zRONDlPn$^e?e6X@Jg1y2wqB%DjQN zX^x*C5b0tqM0yL3ZWkUy)sMP*LE8v;4xRIKfx*lf+>B?1Sln~qla_{FQwRU+UFUta zX+2mS-+uWiv_SCH^RHaJxGCOND>JnO--7QtaPR$?+a&CCn4W3rw{$5(2Ch_`R0c() zBkT6K1-Ft-!0P9*9t=*GF2;2X4Lh>q%G*Vm5qNtXq8d7{c>=p8&wu5OKl%@z^$892 z?xRkP1-^Ri*Pgw+Io{f`CjT`E#NBlO>fH=zglp5EA~|_8e*NJ#fq#5!B}^7Wt_KTO z?!t=^aG!TJPORt~xk4;X4xT*!nAkfwWg)t_^2=+B(b9eH^&qUU2?F`jq%lvvC5hz7 z|Ign0fXQ)H_kyRYt6MdrkzF$y*(2Ms?~KNxEktNbG8ma>-x-a~SQeyhgk$m`w1jX3 z@ouyv{Ml?m&WuK)?I&RDCx}QMd2M5RCtkx7;N){izNGz!_bLAT9us2nNS5qs3CqXx z$FhDb_ya#avcKQ$Kblr|SCvL1OR{xls`}Qw=bU@*xu;IuI`>ZvmqMGpmky6&b(h;m z)KT)r=m5Wd^!Y8ES<%gZ+kxbqj4~`WWdKVd7Kgi=d21^#0vv{cE@EO*E2QMb>;TGB zOD1jQh$)%e@uB}c_r?T!+s?g`LoHvh%o^)?1FoBu@aMiv;5WMG(>P)BLJE6p);<3HVJrXT(_2`>FY&sZ6ZHTWGdyDFY?Y$g%ze9#L9 zp14r+;fuDs`^U?L`!UMw@%do1>>b)m-g4vPUrw;M%G}$f!dSyod5{pK^T}_yh z1cnIlnRG`XEy3`Viir|48B+18+qRR^TZ0Gj38Km!{q=k9jpFTXTMMcE{<0D8Dau?1 z3YZVZ>JJ^=F2*tn*WrD>v1#Tp3ic5dwY^x&j@E?Uj>uSFBuBU6YI5J?jkzeW_kLW= zEU@}EUnjdtzvg-MJC=EM1+QZJxi^@G9djS|eh$QXA?pP23=tYtu)J7Ug)Sv)pb-5efmJ=r;OK8&|N3RLx7l?2)i`C9 z@8f}fv=)?mt(%-Hm^WUJ!P08=yhA~9KLOIWl9lZvQ5Fz6I!4pt zV0;%k(<7se%eddBjeyO?9!nusXw=8_FbSc_umgv!_iwqK>mAPC{;lay>Q`b^;|;1) z-6n6n{>{r1`nPR#22*3LUm9jYp{`bnaIv8e?l(n0p#<$5p8%y=oSdrBW{-(RW)uNJ zxLiUd!xf^;%ZC;w1J=73r>ZvscMsN6IE!AV(>QzkM1SeW+^=R)_O_He(bCa5SNm zrk|i#sykg%dJy79u-N|=taK%`vxF!^rk+M{s}ZXMR)!yToii(e=qi`u^;sAW%mI4 zhey8l`6flf*$DeF&dLvR^14!gPmh#Uu*s6#3jeKvw=S;P-qKh3#6LqKXK(+Ok~$SI zj@X^qaulb<;Y7^VQ(jO+h5@3af|R`Kt*7MKl1W=RVoDa=F(ChvG+x%ueMiQMe~GIR zzo+9AH~d}nRaqEn7*=#0OJi@n=!?U4`Y*u=nS=&j-)w&v<&OTBK%V*FO4;#ZLBoL` z4cNm!Th=SjzSi!&vu}ml1(X@ZfCh7Ln2EPyT#o*h9DDZpWzl5Ae~WtnNgdj&;uyYl z?Xy3Ooe$QjVH+~HhCDiQSEf*u0Lv5Q)UGB>i3hvV5FtL3?kIJ2lxnH9vW)^WVnI2W#VAmEKsm|SrquC0|6cPa}PxWj?dC}lzc##0;F#+N;>5f9$a~<3p_aZ8xHTheT;!8F7 zifCYYY{if4yMl5wcWF`V zbKYZmB&f2*lEC-31xh59$G!hcp2e=Yl~Q2r8Vvke#-F7?1DphDaFTeuO4El7Djv;a zmJ3`=3=^Ej)`C2z(OaM`FA}*$7Znlx%dt;Bw!tyj(`z5DBWR$thkWU+=5d(>u-^l- z?ty@r4kO%i--2WU$D|)HcpMsr>v+sr9y+hLi*BI$axJLSW^C{UHsiExi-H;%bv_{d zejGW@nl-hF=w1N{lTf$tYQzF3AZj9T!MdATc>t(rXe$PRSsYORGTmxC2u5+D?w&J{ zN&rh*o0mVw&c!#4XueOJJQ%7N>T(361t^3MWpHmMP!Q*;B1@p80^Qy~vk1&-Lx~K! z%2|9%gxp6kk4G?_#skybOd5MjO{}swJ8)DecU(;42awluN=<8peifcOkC&xWSvGwK z2nSuHyAS!A5JkK2dZi06r;_tABhiyXe`R8$K^Fz^--dEwjTC+` z%#?*PgthTkXUo)`)9`+WOhhk)PH!WgGi7M3$6Xn~)#x^iM7%>Bw{7;);?PV*#iZdI zlwGet@-l)R?hBq+D6ED5R-kyPOV=?c6z~-QhIODIXkaW33WiT5Q5^1ZX&6W)EAmLm zt8UMfyeUb;K!X--bi5o<*OIZM@nDeL#@#-`7qTl{2@1b~Pnl)Pk%W_$)wiztv*4V_ zT*3SBGd7xe0U=~U|E=iVf!KUCFx!8+&&oe;8Ii(QBsz=)>BB8D{7=hs*D7C2M9^FLis_n;1&=Eld~@3v7~;C=jrf{8}<;t4#Om4Ujk% z@<;`DHN-;6cn^d&hd$?R?~-kZyLMMFYFv>q?qKonp`jFdo6Nfc?8DFW>$JStx+;u9 zZ}XFjlc#{mrg2jx72P?=!!~`OFhd(OQ)2?;J=QktnzzhQW z>6MsrHZ04_b7lnJ)0u?9QuGs|AoomeI!&P?|A+Vz6>@%^p$83J{9MIYv1>B$HdkXx zbUx{HW3knb?CJnVBl@D%!3QnMMhxt z;o35XhI#WO0C)ym63j8^9uEY=78e%L;+w|wGF&VQI!UC)$SjKAxlfd$MPA-Yq9LeT zO<=>r;P&w)-?Io8utTSdq<3{8XkuN|p<5lo%(C$YX)RI%{EmvPKW5!6;8BUuu};wg z@#IKO`1Q)#P(y?%V9{rBL9ed5#{-iV2dHKJeq9{>sZu#voGO!Zp1xJrQdY+R&qq%d zKNjCZcT_D}I)%9HE;{LijTR@+(TI)`kzGfHR*<8ihWQv3u($xsvvZ;b87Fs~9RW@S zuwa+QG5mm79Vb)f(H%_pq}CMHo|U$((Wn2adit|C=^R>G|D>rGK|mFW1Jf<>cu9@T z1Br-L91U=A`<%ag~>E z^^HMC5PX;E8T{&U@n_#X?d!M1BW5gErq15yF4#J9GK{rxtvk27WNu#}qL1w7^C6?_B42z@RHV1OKhuU7e6; zKZ>1c)FtbM`!-#o5ns-UFN&>5Afes z@ur3a>fPEaMVTo+>!VYHn+wpw%e2L`X#+4UvDy+$Z2|?;;=wSvCEHHCx}Y>zpA3@* zP3-SU6bO8jyxKL3v5m^O1yy!ojPim+wG#s|(8j&`;&R{j-S>24dLz$22=zZr!}jf+@|)ej$DB}2s@$)uW3TJwdEN%X+u-t>e? z;0og3UiVoK3njqnTW^`V4ea#REqyApJMoStwriu?2NGEWLBYarlZr)(H8^$U-W0bb z77F6t@RS!#ZoEhW1BBot)WniuBRhtd9Jtf&%L=Sb99&yV)K;8dtileAG&q3IN^n(Z zT=4kGe&BexYv>sIxAsMJg#Bs_CwLaWg^V%!Ky+2#KkbA!?8;z5J_tp4ek&GF-aF<8 zk8?(P4ZZ8R>Z#~=3Qm7absr}wK!Gc3@h-WBDw0&`u8f(`ZD-Y3qNPi$QeV$HI3l@GoH#~)I z?=fJSDo$5?j3KWG7Pp~Y!%_eXlJFi}9UY#_ckY^I?naup$&Ks}# ze9Nzytpa{6E~pBM<0JtZrLcuiPV7!Y9t`0b$Zcu!?k=8$%KG>agu+^SMW9U4XPg8m z-%OdzK`;YSQBttyGG7ZKhs8p&KVz|+&(o!qK%#+1yDtQ-T|_dDVE~IE)JO*W8K^=K zz@iN!6|X(go5Dq?p4o2Y?kZQ&^H)TYU}=-1b-6d5sHOr zi*-3twXUnFj=O=q4ajwLpsu*!a6;u`c{UUMTbjWo4^)<%4(5T4 zAsoPT86Rt6ti@GrSB(aiLrpkwHI4EgM1Hu|P2It(IzCg1N#9mx&`;P2ZN@$eDuy}; zMNS4h6T%JXe}Ljfa317vg<4 z71dvz`+-#Y6_!%|s^6@LdZJ6@2kMw!lE7Nbm=xtjEQFLx%e~Qact2LQ z_FCB&99utd>(;R6R>?0f4n)0B*=iq>6Tpg8W{w0^nSa=dc>~_dzJ0gN7W-sqYL^`K zE_=fJcbJn4CP4zDPRVCj-BGutQ4+(-u`(2d#o_p(e+BaZplA#Osq34PS6!o&yjwD9 zD@XT|v6S(^9$`E8ZP@qY^WVe@ZtN~|aAi3CCpU~q-(5DeWhk%@uND|2B^sQYGHsUZX}sQKRy7f1 z>O$ENTJ@`FjMBy{YBR;S2qG2~sVg7$MXT^Fz3unIsKmN&?Gs(S$5g97z9kHD?@|BA z4{xfdmy^t6TV&km7(2WP05*4i_$u}Gy)BTTkFL_ECo^{UM(F$ePTXuayKx70XaY+{ zEecd+OdLQO%5?mSVW24$UKpNQVp_P92w-_?OE8R01h@?ik>WGyjzZcc2RMGtF36uy z9Cg=gho!f2;Ve3xhuBpt=rR?>f^j+UPF!ID4_~>XdLucCPNxbY?Tc_8REd5_dNcx$ z>KlqfdYzx|i!ig_j$+X4F3+w;=fKDv)q+mI3U^|*FwPQVF0cdh>s0j(P?Hz>gUsC8 z;djcK0jdjY#k~N%r6jmhSA&x`-lTdLa7|ZXJ-RSpW;4tJ|{{b}g|I z6^yjOdQrp|eLA=-nqB_C81DGmpV=t7>+y}OX5!och$b5jk@8x35WhlsZ5mp=}5Gr2Cz}Dh& zaS$wyY{{t3(pycJtb(VLkt=1$r#!lQl~9a8*G9vd%8E5efW9P^z#k=_ernT`cyM#P z%}5xP&E=wgNkZi#+J{$2 zoJ^OBSu!8Eni9ZtO#d(NPDQqsMC&zwaVSp|ypiyGtD|j4Zc!{p>`2!dsbVbv+>OlXn|Fa&+VSsB$Rzt`3WJapTJ~c5jT?~>7jeEvQfgOWos*3OE z$RxHWy`xY1rgq`BnDO#EYGb~w4+!}$P7k= zfmHdCG$pUP_>{a`GHEMEOvy+X3wV@w;QsfZ=`BppXc0Tx_Xp~&@D2}EqiEuLn0xra zi(%rv5X-feXcYV0d_lt5>Ze4v-+(zZn}*BfsS7>-j$0)-`m?no(i_?FO|uf{^fK%J z{01qEmRHUuO45pN))j`m%xhmiAcy~cMxJ>A)6O?$#LlnABnNy^7mm9wQb{Z~a#tdC zmbqb4d605VCFeMHw5)BdJGwF^(T7uyzB@?11LP}og^>(PC$;CENB^IU(jP@3K zTEgPzKaHw;fsx@z|L4zP^~+v7$}#RB9Cw&~tFI=JIXDARz6HdmZ~A?alH3@Xul?T&tB{e-QREyM9omXwXar=w0ODK?4tfZsIJN1TerLA|Z?p znM@XMYLQu{;(d1PsJwI|7LQMaMcJVe9EP&m!T#9;U-vbiz!%AIrTq|!wfBu?M6dHA ze|A`<@?LzXdd+JhzCz|Nsw6XcrDB*@YQ+}Ri?3WA0X+n&6*{27_z3jX$2ZI?)W2RS zDJd*Z31De8Ec9r`)OJst5nqaUk<$H8=Rwm?|1C_5?Uhh1d;##S`D2@DYU02KW%`xD z3p5@In#IT%hjf&&p>JzLeY|LciME&%BD|Wz`GnZ>m#0wz+Q!=?sQ{D)=JPmYn?bK? z4^MlrxdS>|>(5KCVJfGrK~w(MTQuWNCiYhDWYe=hx5_z{Sb8RGqjP|^0COf>TcW#lVDyEsB8r>gUgdSTLFaw zgAt1=P5=Y@jjW>D_pkFVZV@ND2%7UGODcg#e#&n^#lSM5?wkM(bw^L3!lW#$q4jha zLs4PFJ%-M13Lx`I9I&KS@i1IK8iT{Pp;-J@nU}%j*&+YS@C)OjmhJ-GPg5xNHtKGk z^m!s)0#5?6>K{i8{A7&p2rAeCNhr`VwH`U^fkKw@=p!qYeSepr%zi}|;aV*i98d9v^v{lXn#^wpxb zhSg_d@eZ~)T>ptrOXUR{&oEGHPlgg8C9k@{P;zU@q^%s?OBTw{5}JvtSKGKxVBA5T zyv&LpsriWqNB$a2v8hg!ZDqV(eW>FsOO^oP%A4hAb?nn&HMd#CJUccbn|jp@?J@SK zTs+-#)8#TcJ8S&e!|*Z;?(&P3qE}^k62R`ebNAE(;Xhv>!O;69m?-5W!u;ED`foXL zVs%b~x}1#bE;riCxQ`IV2?eu=l({#gVq4nFFsUU5w~*^QDO2i2w+thpr;%-w8xs=2 z5F|2V-dKrW=9){#%jPumrkycUEN4@J z67?3yisQJZwX~OEQcFw=_p?ZESvD!v{05lY77^nL$?zls^H~_gIWSrviume$TW;N zd}^M--k)Hl0=sC?FNsP>^$`<@$GajP-2Mq=ltkVE`U-w$aFEWQffE;H4LN?+p_C>; zApc@X*9}VD63)jjsRT@-y=|DO1=+gh?}d?s77bw|4kW3!-BVeIK$H_>pfEv6sfGyv zRskGSDi-s>djgq$@M?U`53gx6PeZR@fi3nT2ah3G?@8E9i_C(6F|mL`2Qq410q{V` z1}N;6P~Rf%fnq4te%xrIojD}hTh{(OoM{Q1O#im2E`9Ld8nbo~dOPli!Bl2`BkFP8 z6zmt?)pSyYZdrCBlVB2vv%b>y9r8-h-wJ%e*n(~g^z+XBu#{J#m;4b7shJ*(D<2v& zGDl5MV$1ZOPJjn*ExeU^co~Z@|F!`CEv#;oou}P)sXQ+LKaD?O0sgrgG%Pxsl+v^~ zgts}(l;UvWF$^?YofmPCl2^U)l&shWhJlW>aV1N%x2Q>jyR&nymhj)Y0e^g4%#>F~ zdg~`_zVGh>sTSTg5>5|EFk8U%m=x+oM7+?g6MOp&DtAhMcx38|VBO?y8T;DPy;1J= zOQgDSJ~uo4|6tSG6$RPx(2jm~7Lwu42Q!rd5H5f8Lg5fD86QU+UN?tFQ z-nO@pv1>i(?G+ULKxOPLLCt@AbC|UrHbTYz33A^iuasC(BFt{`tipfW_vrP$k8xv_ zudRt>?(G=Hn9TUY+dds`KJt$;dha8-;K{)eBkR89sgrgR_hD!U_0|qyqnjrV{()q- zz~27dPUoM%{99~12LFO38!8aSB1HM0*iWb-wo9d^j0VXG+5iMgEN0to-k6dEh6pF2 zCYJb(>=-`hL6hxmdmTd=$&MO)=X8rFB_vz=Z^c$9$$so$b0IeW+@x45*-m<5Os{TI zyz%>EV*fq-xWz$?H)P>)eZctg*lK%I_&zE7S59jNl{MCiR3*P|yz|?tpT^#vnAbUWZO*asb||h%9_Q^)OV?L`#c{9X zV&E3F_^b7lCgC5LrUuXYRGSn~s&}!d=6)5Yz|!>^;zbNIzsPDUPE<1;7r2WLJAW}Q zV*!~fX%Bj?zf!x0T#0bP>Nl;$oXEqZv9~7@onzPL94l|9;x5MCLU}}3c|3=q!u8jC zPcp+$6L?sWM9o$liBP)*jC)Q4+2ANxyxl2AmxGxIOUEBW6f{u73<83?f-irmfO|m0 zsVr0ZUJO>3aKWKx{>DJ!xI+x>xs{|WZlfuMGOgRA<9&)I3Q=R>Dx}gAYKRrj%b@@Z zZPB5hw19SKAvXo{<3wJf-j23%Z4%q@s%QIGz)wSkg+g|nYfyu9|6E! zF_YxKRg!j-c}bLf>fF1uATc}~jq21jRy|+SzR#hL2eo28)_efo2XvauOX7rZX6)2 z&5_FE*YbiXju$VQwd6%CD7LjBEmymRN?zz@G{5sX~+2Acmk6HD>jDWgH58AFCfUs?YcY0OMmsfyWkoWO!(6 zqO?h(OknGp0=zE3-(K>{pj>^8ayjDeGEU@O#jKu&_?ivdluCO{n>HYa7&S@EzqCM~ zn%TBx5>t-E92SF6x1x(7T@+1XKY^yNBSZ^g7g_4c0v1aev?*?yZW$)^69mucGYY3r zw=-Jqg_-kNb4rk?w+q#?EhlZn7>m#e0&ONXklKDNW7?`<7@NvxK*WxqjI}(GyW_Q> zaP@~ZlRH47-gcmAMww-Lh4e#mx&lQVC@Y= zkGCgEmi%6(9$#Y?Q~|tdDjG!v1_k@V;5k1e);uXPA{XJk434ot*||)B+!2&!8oP!H zKgD1vCk(*aG74;KnQ(Cdf_yKtEC=4O(m7QK|u`3sVjUcSR90UdojVim(>z{ zq$KKXL2I`|T`J9Yyjp>g*yP@*LOok@Z^)#jJdFfLOZr&A)FmZCy$W42i9rD^b3Dg@ z)jbZ0_O`YM^KpV^$a8ZFInHD_I{`Ce*(iZmM3Z3d%5W1gHb6v+za|ubup`Fnw=`ER`hnGPDi_=@ot65*U z(tTts_hyVclyU}L1Q@dec-h8$FoS7cMpL}4^>X4Ew%ErW`R~DlfO{xDy-KF^ufk)p zN{WI0vd;L{ivRd6!27J5FZC^jp7irh8PCAONyxrASJK5RwyZ3GHEMEYsvUzpJ@=K z*gwH>A26h7lx!Q-fl^QR@r1%yDf+ZH^)NbCGk_3hb@tJf-A?>*jY4oFXC9>!LWgMyDp_%@L%5Pbiooc7pjM5q2DE57aNlezb5VAqTx5%az)p8Fc;eDws@GMY2(xz&M;81TSi{2|@+W zam06oWW5FTxen)g6>`aXOKob0U|sE{qJpG}TC$2lKZ?9?8k_vu4;2SMb<6ls`=uPF z;UYWFf)folk}Xne^JYgZ`yYX9)|5gY0b%6f9_SzS;XxV0&{^Qi^n_T$4#XNHcYWW8-xuxUO+fgfyJ#i-pE+>u=mWhmt&tiD!8xlg`rtmkbK#spM% zA{GAIVsr>!Nqq!boG1GA;w`_tr|j8Z9u39hLire!S;}L9JbwUzH1N2V`>I#^C4H0J zFVA|D9{jiOr2m!<;8(T();RIGGjBm!28)9xql1GZ^5Hl}2$ z`nT=eG42rkf2&YWMYb!Yu=AIqE5HAgFSd-81><-k4{$i4UP6|u8}!__6MX?)r~0-V zrsvGBj?J3a-XUK8zh)+?hb}_z;|B4IDr1LttnjNFuzum;syCBnylm*sk7n%fQ$oS{l7u^R>iN_wX{3bPt?K zy&Xa4HuDY}4Wn=SJieQU{TPS(?#c#MGw?vc9dPe{I27WnJ!FJwf3YGOL-KFTtLfsIs>$oH;k}Sa8s%Du-wYdA(-~~4bU%iA zsiM9K;I4A(#W20*>XdwmW^nM=;;qhw)G`K9qqrgLaNdXeiZ)WPj)z``ath`)0}rxL zikM#+UYQj$vlSOy&VtyGiRa-QmOu&>=ukWMz6tCUz!GBKc+Gr;27wga17Hyh4$Mm| zGfYFvqizOO;6pIDKz{@(Jpp5BjcA3ld^MG�oMD5j()(u^5bH*lAqCx?2>ymb*)S z6`p!{N1yf3GG2C)rR}04GfwHkj0X=G2X##3>96C>lnO347jqY!xmS?s_V zWUwR0pK#v~#F{`12fSQr4FQk;{zS*H0vGyic=j-HvDn~%8!lrJi9z5oCN2hIo4m9b zj^}AkRsxIFX!viXu+#OK} zMh}}vs~1iQ<}rHT!+wmLZwbf!x!W>g@6Y(F|M0r;zQQBrW78`p!b&hAL8XdC49Gnm zkoTRBE%QgGR(m(D{;}#aN8hHm!hajVe``THx*FftX_J%2Gp(mW8V<2tDnKxXSn(|g z1WT;8#xRUc1TZ&5iqE7w3TX+3r<6>H8VfWpDS4&W+n76wvWta0QWvz4I$o0_p3nUl zL%b}NBr|)z_X$iLxD_w%(LSfQ(QOaMa;+s+q~Z~g{@Z=aHj7lgogLPzUKiQ$N4`Aq zp3FVc`@v{t;2YxapUVXoJ-S}TjArjnw71riJ>M&OL)o><@nLE_8lHJ+t;$Axzif8r zJ+fMYwV%7cvz@V;SZ?%Eh%~rBDhqfS(#N+T5G=74r?;q^7?Q_l(jA4guRdUFq205# zANw&*al5&vOgJ$A8ocq3K$1}wo7nb=@m}0FgW;}32)!5m{T})W+l&7`+?jiJKsOb$ z)qLemcq+VOh1_ZO$sP88=%0_CUp{N?jdozV>f1!FK!~)0o=ahwSAR_$ll60%Nwakg z3W=A=e9r6KM;cGToC`g9O2dtyt=FSbzrd1G@=;NsRo~FD6VxyNC*2MT1|AVc;UqVs=^YV3-sO zNwtb4UV=nd_$A=;s%23Mdsjl|>M(|J2d8P$5bPz%4h7qwrk9rLwZYldNl%7%v8&H5 zJx9umJKfvmOQ_BI+AK-8t^0u!5MEFa;H|z*FL4b$bD(5f&W0V0&#C z;G<>Olp!1hnnDx($j=iq4&1FXsKe;Z^wju{65YQCN0lGusrw|9v8`ZQFWavINqjGC zCtAXohFLfzmcc1ew-a}>0_~VDQ%uJjDg1kwz#;L5GgGDP*YT7ug84LY+N9zr4U;;yf-;3f0LxQLwoPtKNdiNJ_)NN^kd|P0O38$%iI9?4 zdcEZwl`f)6EDYaYAk&f|X0v1m5PI@5QOMp@`m1I5Z>8_;HmXiyS^&SN<8(H;e=Bzs zAI8!dStdoyza6@N4jtQ7cX;yIo1$U+!lglP?ysY{fqCQ4j@>9bo_(`K-+OkrTI8l9rj7D@8QWlDl-2@ zKP!LX9}|B#=*9PR2+DSeg<}-{i{TU}4IGdha1YN6@;j8X_&_?Q%)+l$`DN+1ACbu% zdbTR>#IVNGy{^S#8CN~KJNTY2!+Rf!#JhLchCjY)WM}2Q z2u7G)Xq;mfdx((z6jm!>Tox$>?A!}4Lnx1edE|+KiYEhZhQV+I`a9@JCG=qZ*>!Q< zmjSH!LD(#0l8Wa-g7e`sA%RBE6B`#LW#35)jobw%LY3Cike(+T)QTWzSXsqrS2Dny zQfJIKPGLWI>_4{#z?z^P*G0x1D0o$DM=(6nzCM{;E0+)T3sddk|4f zR2&4#VKAH;+Iwog}M{$f5b@5(4|kQ8dtmeuLichi>N z2@$r_li~!NRF{TWtPBNVaR`-<5>XsNnHdIB*Ec1vx?xiCZpoyr95E#$aV*e9+s3{0 z2)d&Fhh4p>xqJ>w`Q0;<)iTz}qWXT}*m#j-u~34Tu6`)$D@!nGe*XJ1er45@tGq9Y zS+Qrv6hS-w4eToOl^^=v?B8B4!G<^Hr82+5v$0%}Sj8u$AI2t4(G+GnTzi*m7FGCf ztGB&REN+h#e~wp6$jOjZZee>>o=9Szk*pG@O)8eLh9`=ntre6hb(vU(7iQb!mUt&s zMOGS;$7j+VMMO!Srlf{=%qJ08+mk2|u$4SJ%djDsRvEI_*(6Hr-xurIVgcj4iaP<0zfNs$NE_dRK(NGWG>`J4*@C=?1-ua$BE)CX9fh<@ z4(y1XRgmvmnrhum%OdZneUKDhhJM!q>`jhRFJhsBRR4E<&N;xl&TKdql!<>$l$SRl z?odWDq3_@9Ph>4NFvpUeL;m!Ed5Ja>D-P^hk^NtXZtZ=I_XV>-Mtgq@Et^|A{0)DN z=YKbb)ePX9rOHbc$hNHQ=G*=!UY+0s6Ov z33zJN(4PFdSPxetc(F3&0hlS+D3gYD(ZZ-z!3Xz%jNzh>?3{^ZLI&A&@b3XbiFO`d znG(PQ*Gk4>xF6GRsYwmMn~!_);@as+Bfjfzgh8z$cyQ1)Sk2BIyBjm`FiaT9k05Mj z!Rzj*HrxzHtOWOV<T#5zq)`WrQE}Ga219suX`EXhZPz_#A zNYpMUcp#ih09i5y22dN)mnp~vqftpAGd8V&69aVza6(jfNn=#-7X)< z0-6_%(Z({LCwv)$!i@{?69Av&LCx%l6fC;))?p)J7*2`+yVo3IwOQ81KyvPj;hLVt zvx_Zx`aE679#hZ3TU-2%PB`?!qY&3%H3BJv<2>d@V&H83!kfFN}8T4)j8^T}%% zHqygz1NO-eCqICwSuRAcDVlYobhCxy^j$*!IF>D5;K6o>cZ0Nmn*j=JSU}h4zC`o{ zpPQg*1p6{qjdtbb1nNU9R63-F*qmdsIJXUIaJB6J8v3^za+o^+%oX3RF|-ILS4F9{ z&?qPqIuzheh(YyRf+g+oss!)wlU0ss4kF|A@3!8 z%49xg^5*l{KLKF){W9Gp<)N^ey#pPFMFR9aQEH21or)}5>++<+jYBd_WKGym7Kiva zcuyz}_fRtoq^c}QQ}U|og_1XIhhd;2ZA{5X7z?3s+$;ELayJ&X%zOI{L$HOu*@U`& z7Wtw)6IHu5YF3{Vv0%UvLl)7LY&VsI|H?NdxlzIltmGZr#Xe)VihOd7@i#pn1Bag2 zP>zDfgFwzvFZ0J=A#bL?pyWZC~y;o&BTL$|l^gYMMV1^q>iniOsUJ;GTd2Bm=Xt8{09sX;xp-vLRy01DJ2u4CPGSH>GihD7v>UmmL&~Q zwS_HYnQiH@OH4ofw-!owD%HR zDb3+csy`ou2XB|&iK&cwzj_6_3~;kfPhoW6(d+wz%E62`oWPeu3Enk7fgJztitymI zzwIUgnf%7Se)-#-+e&`fdY?d@7OS^`C=0MqDotJ`!=(5Y1cD_NZ~M1Opj$$f=Z5PzdtYbkA(>^n#DhON z@Bcn0MfL__FSYpIUhXRNJMAi6KPKEuw^t|Y-RsA%v!Rxyk~=5{&--{#7$vFn_6PPs z85w@e*4lUOz0!-XI?w^;m(luX323sMI+fJ5L#Kq~IFpQWwquMY6%b0<28{BJn8~tr z1_SR+h-g9M6}w8YU|i}}w7|8zIYwcpZUUc3_G5!mq?ISBT$2t9vvdmx9Kw+g=qrl@ zYjijE(w#;bWeiq{C9ymOV5_}GSJbIT+gm?TBJCt`MLFp-H`gPE%HnYsll&@48c(ig zt2YboP<&Gw%49QEyahg~4Ty(k)pSaU6;M-R!S@u3R74I?Q0pGOM@5WN%V$WrQ%ab= z1sD<|`#d{?;J9ARm{`bh+PPYA9SJq1Nd!cw(2Lb=> zW>{{^tezt3ApEz(No*IS8`v*vG8l+sBz((dFdtojaK?hV*qqN&yi%03Oqdq`?FovIAdr9QP>ZFE3MKQg&&D!5p}euUF^Pt0ym@IUEDqO% z?As|Xn2TYc+x^Y77D&mf{ao_#eIOZ|FhdCEU@HMdj%erfs z9NmhExrpxnsV9dL`?nIIVFMlgWUC_cK42+(4WHK)|T%Cruy94VDN2!EY*#3 z+`u2_{3lJEKnf zEm^R@&GynHy^TzVhHc8+kYRia0>KiiCEJFKL(gVP^`<3KM=*?y&!jsFX$gj>luU@4 z2q}4;vfgG{l11sQJ)Dsu_D?`_M}?<+e`L+=QJ&?getD&9kKX8eo*l}s<;>d3Qh$%l-+^U9M>ja1%J~$== z`_`LJ|JS$Mk_EQecn}WVo#@^6O!;?1Pf%dPgjNL_P10KsD5)Bn*4p-lN%1WR1WT-r zdMiEG_T;Z+ats)zF%#V4alAT~gS6*7n4cvy)3Od#%*$a&q>9O38E@ACY>5=jL-!m^ ztf-Cq+y7Mt>9a}%|AT==x7Hp|tZWDl2fco1BwN{NXm&M&O?DrY-}0Uc_ADPis0THg zeqqq!-be&r`Ub4*y*QF%D`l3vytzzttE>rbjnLEwYwu~D3|oRgPIo^+-YA2G#Ol4; z5zI>o&9tmj)52EaX^Cg6PGZ<57|%nDG(R|nTo=ZtuDfPj68P9b`C3>HJ7F+Qc9!Hb zp3YkOu3(Y^#Co^lMG6JcVuf)T;v|5@`(hR3=!ol>A@U$k038Y*q`_|W@3|y*N)X7u zbQZ{Q`cn&q-aErPYBTarjnONdI;o%VmQMAD`7+kGcx`tYq{M-a5GE|omczrY$IGi2 zP|Qtaa(Fu)zLo*->BHd_$eR3tk`vqz-o-!|g0VyxNeM8Fo5#NjH;_R9d)(Aky3-M1 z{Ia7Z{|;c1^wxGDTEZw31;^aIzl1!`QC8h73#wyM=q+DaT44l!fGlhln;wfE=)@|! z3nW0v>KZ%KO4Q-XsZLKnOfxu$eII?T3IzuAmZ*3WHM^asvE>vTuLK*<`@tqax#$4XX%UW>0ih!#>Mf{DM@mMPx~8N}@W$k5te+2}@Y6DT#o}p_-Y%<6 zt7!_LF0z`Tg$Qv^tiygC#z4gg)|~^MldT5UHDt9K=3~v#%rfSb%!syK2M4sbGwRWz$=sz*yevWAMS6?y46g+1pyx$@;W_FCG^e z#esF=jl+0lq_rE&#S zT2t{i_U!Dj+&`hPZ+Lb*)g6v}Dp&j<}K~+FO)o0r#5Mv$W%u$b0fV{w%V-dJ`7V ze9lwDY$uK`b3Ig<{j9U0EL$wh7yk2r9*`jP`~F-<%mn;ka3t8Pb_Ftd*oPxvz)oKM zLm8i*x=;=s{ISSmFRV}};8?cUKjHeHWT(B0LnD`3_?kAPDV zHGO}#6RUi^D165{>o)YaWYwxbXIO8&+bnw|ALEGCy5#7u&1^7Kg3RF3OR{p8)F92H7{tXZD{q?vAlJz3fkdd##;Mf}nv~T9n>~G-7_quXC~dWf7_Y zbOJpE0(O~=dmpSJQF|io;R`-k!j1;2Ft7(OZ43NN0Iq=rDn<_`^_P5yGVXEIAOWh- z%7Ix69w?D#JWO&g)=A*zFo^9|xwo7YGPl(?L5NPCBSv{aqGUMIiF*#Tb-P+2pW{VFR?SQjGpN>VvXXo^4#VQ`;#;a>b9rXs zR9BQKG`$tao>y_8ff#QBgLdvNlGe(TkePIr3Wt~Dfgb||1UL)>3wfEg7+2mFZ2*bI z(Vk!!OVn7#OH4RlE7371nB;IkPF_<^ zC5fgX%D~M}2d`!IS}IYJH4BYj0%{LLxwlcSz-JE}yqv}@DDVmGMTA(W_GrB{3mUd5 z;bs+@G0kij$UH_(V)JGq7^lc21|>0v#URiKyqu8OzlG6j2b$Cd@U~qfR2E5>Om+%| zO7tgWle0e+jJ^HzS@;=S)Ie1yfZbQExkQ;X~5m@xs)BMJow7a3`<^EaVd* z(zYioL}{dU`yFLMCjqhW-62tLyOS;`avV~8C89awO5V+aX&md5Npp-S3qvXvs&mgN zUR+b-;m1&5`J!+40n^|=zaETpH6H$Z(cn&7mGjMFAEWh*N~FZwnc~MrzLeF%{TskVfnS6D z_Js(Fqw#{tAaIlQwuX4x&ff_yZ%h?FZF!vgj2ET13m#zx^Rq|u*ss%x0s|6G<}}c| zQVR#S0YGKcmxC^N0M0K20(EMeL6D!BAdGPAA;r8J;&Pp_t(Awf#xD{>JMr&QW@R>LMPG1rO8wgfd=?vgaO}ya<7v~1 zHlB-NGFdI?CDr;d$`lI7FpxU8l)UOjPszI_leTiilq|V_yMVhJ#sPO@FTY9N@tt3D zJ%q|8(TVzgjPm4{;q0NYn7`*fmMal@3qPb43_|nU9~-mSlV)U(_eC4@?J-(9^jgXI zSNKCme$f-oP34BCgLP8;u`I79as|Tb(I0sI(bm^_GgsXenBt%M<37Hp>KY>KLccTZh#U+a@M&!jsFX$gj>luU@4 z2q}3jiQeXt?QMsvKKaWv7#2WPB#wQAm64LmItpt7YGgF~o&#zc|^_C;9q*j0Ri6usP9- z{6O+7QimwN;=dy&*lua|3T%&86%1!&<*kxOqh2agQn|nk<3nj-Pr2X!hWtY?H~q*( zK3gmSY{`4czgPAyv#&E7WM0O+XtMIpQm9@t1$EW%+O-19TLbFP0!U$R7ho@aaNSov zn&!oG=`%j3I40|D-L7}2RaE;(4QAWc6QQ$x4&BELh7JVnQ4^dwz!ABvID;J9+r#`K zswa<7&=05Ac2dz7_f|MDvPhcUl+3$204Lhhn0uhm(y`sW*tt0iHdq%drkA%z&0g~N z#OK19*4w+Y1J;%6Reu_akwCKEMtyDM1|B6uV)9CD*nleG0M{O0(NrW||CSrq4WfKu zpJ!*GLB8w*`Gg1`<7ERaqgs7ju>@^^k;Op9J@tEZ7$iju z3*1flPf2eL7@s19xvQMLHK)kul}1jDI}XWudmMLRNG*LyuJHqySX0gOa=FYYe*x3l zO)+ZeJo_9@aO)>QUBv{xnlp7Ik5@ftmqabu0KI_tbqJBY;Yf~7o*@)n*jqgwNO4}1J0O$mOX+L zhs4dHdz#wrFhCM{Kx zS>|FUVJe zd^Wh!W?>Bv$j%`D&Tu50k>9e@;Unlj*h^u>=WX>GXmJ8)Brjn+cr-$10$Iv@}V^A-S`MAf;(>2=7Ne<`jn$ zk70l)sURh{^7emX3PJ#!SsWF`$yOczv>yg)A#&`FOBZl_}z@$S6)>#eZMmn zJ&f<^q!HzK0qY_My+>yU-*gw7#h2G&KSo)3wG?N;AO72EF5Iy=iY#zbDk=I2wH2S8 zWp2RhxSV*=!o7a8*m?r`?F$;iFcyP(-})43y2G?#TF0hVrgSb@qa4H_7@krxA!;I| zJ>EjBUXaG#o+t-1>0RLSd+7K;o>zGaY}Vx5I`qi0a!x>x_qbnP$3ZW0@iArdxx{Vf9$25h#1)@dYyi?m7@`!IiAXs9xWZUE> za{q7M$K)6=OpDK?I|^yfd7$i08o~fGvx@kh4n#jBTkK?h{(iokaY0xOepNm?p!IO# z4=8)JYA^OwHH3wYe`|UF6WpzU zZ3r(aNx&{0t3`5xGbvNz9&xVqX%?GKVrsI680$&_ z6^Dbq0%qCDc%C{t1D2C8y+|@MSJu?)e)aH;2HX&a_zJa70LygO6F>)24Q>XVrs}gh zIVpbC-E9arei1wmuL=oZ?yW&Zl`eetLYvS>O;%n~QBnaYjDcl^?iw=-68Lfbst+z? zfkXLOr->(dfpG`LZ#-z=-7j!>fwIm4__x)*V$D6BtcOuIro3taHsI`srYT%RQPK8I zXolJ3J823t9)iYVfWU()5CUE~&_vk)vj{SH&Xg2p8q;^nq{`#%%w@(CF1WiQO{1Nu z0N-v*>L*lzn}c!9h~a(JU*M2mD3(*i{UUtLr=qj5)hZ#Q(+hff*`FKS7pu{iLk;F` zi~^00;CnjItz3Pr!?(PfsbI1d`*Ed#Q0}DCVn0UtBdzQ|%zYi#g@La3quy^`g^4>C z%FT8}Z14Cj(87O+CUkzBBM}MEXZP%XA+#H+%SN$tnT)%kYRtdA8U9dFW6+1h~jX!wqYPuWyvEYFQ*@!GHENvsqCM?qO* z@)7Yr|EF9#p5ga&Ry4k+Q!QW{FIIo^feH!YL19)0zBetO=Ck{<|0R&p+|*p?eNw!G zw@+#DxeP{YZwRB!56FYFTbG5;ZMaUZ#`n;thU3{HHB*i%MNehz8m!5&Ju?L8vfe|^KW(b8dJhU#{4JLsqOww zX3PxG@oJcbaVNKABSH3`Yj0E!i z<@fI>Vx(hcUMw^x5oY~Ia=N+nE7AJlsAqQ%{I_G3j1~BAsVre(Z6#@Pc48K%1!0jr zm(x;~GtG3VWdWTE?M_&YFcY9hTC*HZ4d8FGcyAYMJ$HBNT1WlRr0JRg2{gb)VHQt% z>aW4lgz!;cuvs{`O+n)V%zLb)st+e1WToH_gV&Y4a+#9Fb{x@ASnKs5yyRe(oa4E{ zkk78O3d{+mp$3@(pYNGcQ2!VXQIsbKtOCYReMx+M(i#E!8K!Iy{!_4-gkn;|7RCWnjqS2ypNm&A34P$-=H`#X`{wK%B%X9b14Km)@}wBE|=x zYChB&Vdv38nvCNj33SE)Wo)Bp8RfCNLB_kticd;oskXI(^11~~%hmadbP_o0?QOjp zK{Q+otwJxsVE%1Q3p_CfI!8sz)O4hd-|9NuYdB87PjWgvAPT zDa+8ptMiTYm;`&O7fzxZ8*=__0RL^t$rI0b>*zm(bCPfjG4Bd@T!f!dc{_W!zCpj% zh+Z$decNxjPrm0t_55QQFDt!f@W4DLDkOjnWH9^12&*u%;}!Gh%@RD;laW%7U##wg zZP#HbT~q6gBrxifyd-+liE+9(n+`1w%ebb&x%N)MCbqnw@ zMk#qyLkt5QX=AvhDpecz(j(*IKl%I6fXN8^Cy3Yd-~M>3kLBYcM;_VglPnQ-{J@i{ zyrF#0!RtJ4x3_90@~#aItoZ%}W{ANLcGJhEY&6$@w0z&?!9d@2K`B3fWg0<_d!;XC z=fbBhmEP;_lKIK%GOhd}1~q1P;%mZvnt$K&ptDp`*-~Y-SUucPC&=8i#k6SyFf6g! z5)5Ngz>pzQ$EH@Mw6&LIY$Ln6ro`xr61{~9BNE{x3ItwCel3}_={#qeb`cec6QPZJ zX&Y4Pwil3hzdZbunSlQmrV(X%vYf-0q46w^eu}MJBP1MeQRRi@DIPp!`a-D;(eG|~7@Wwx^IhSHkZ5rT%F|}v z)WtA022NFPV^}XXiTeqkRe&L}HW-y1JqOV?Tz<@8b}-Cg&o*Q3FCG%KnwQ| z?g>NRN|jC72Rb>KMuw}f+`X#J zLS?cVM8FpvgMsT6lHJu?>=Z9JIEz(sW@QaUXOPydiGpG=no(4M8uh90YefXNP?aGd z85E*G1;tkujYVH`;KOHweaWl9qYxXEMYd^A-X))t)-?CpZDlsD76*o2%pOZq%^(r- z5vFEv>GmEEaGnv6*Sdqw%`rb_Zk8_@M1{tO)^V$DQFRJU2V`zB^xLBqG7Y#EH^Azd zz+Z4-ir{{WO$e6@a|EQ>fS(o*Skn*|kBeiBCvy()G6Awb25y#g)(Se9SwQBSbYx1u z#XjdZbkmElzBOPlL)K}1+w4BZw*U_AAO*&$L8~d(Q9NNM4_MK0UCb~{0=-Eh2KgGc z*F*3Hv+zNiYw|NLBzhk9+lQwZnhH}M_{JLzstO+7P_84C5xdNah}DoP{SJ{=SbqzN zB!sJMD=p7~AFFcJ)l#F7csOyr!Bm6^(5jmOoZT2z-Ey;w zqC`&0Z@D+YV&<9VzxAi(nCq$AL_Xhq4c6Cu-*KJ!Ic(*8Z_^($!|L+ja--ywdkkWi z4lURDsx03x?%Xxvsjo?84%|=tE&ZCgkMRE?^V<@byYxA_MtI$ysJ*xU#&<{TG*p%N41* zY$^3nLBW12*#A~doN%NziaifLhdH$1WLPIeOoSTd!H%B@jB!4rt0UK{M(wsyjC3!> z%Ne#=YBk?x?r^V|Qk5?{ty9nblC+L|*quH4!y%iWM5c^rDUs%~<6V2hB}aquJ(I3} zu2ff@W?}ujZzFabL!D{3SrVMJ8nzcn(*e&ILyT^%MA}O+DiYF3)-Z-d0L|M#gkq?@ z(UAZxk(y#$dLqdvq$Mccaxx)mDzHv-@**n0@D^W(or+nD+$!X!%l#Kae%BU^uU!CG zJMef_+?Rh|CZ7GVKRvr`IB+&^$D{c)dM;%?4GbcWKQGQvjnf91$m^?x;pxxL1m*IF zrPcBulcC|u%y8w4^JZaYgXezZdbHV+qZLroVFOkGtnVudqZw(8P))+vUcXQ~+~D zgia!wo_JodC`4a(;497x3d&(6g?YMX2B##nLG!oZ)XZ+w+3C@s)Y>U}Xbx)u0@z*g z6<3S3mI_V87Va)py?dQHD}LNOFU_EQjhT}#sWJ{=(6W39r0ZVvfx9+WNA&kpq4am| zgOq|)&_k^w_dix@lx2fgY#tuHMvnNN*W@{=0G_0(u}MQb3mqTa3+2f9Jc7=w*7KOT zjHKB)&mB;t+7PIYEBu8i0MIO3pYb_{WZty(=em(WCxNEOHCU)1@)${dnX}Ah`57bn zbH^~iBOtQC3u;AB;iDm#(=5zenGF{S`~J4}2qBA4N_=MLNuhnIMx8;IYn^djnJy(< z9T5w|)abO|$qR*Pp3=q#n8Em=7UE>yXPzXA6)y}dj?!2FdqTj`$^0!+t3zHF4ECoJ z1uW>v@k!#R!?0kIdG;uTT`TDH9UW|K9g8gpUA+@_@pm0+psgLEoYr5x#wHU@wCz)U zp{6ZfeL;{>Yxy$RoYR65*HP9+3M)Yd7-<5-H(RAF23i_R#MPtd8Lsg##RYQrWeA0d z*p4Em+8WN}(6^VL0J3V#VAZ)o5&&-}vKr=yv&eu!g{c_a;w=p_iDJxEt_b+9k%+s<_uedGdoFT7HM6C^{Rm!Es`s#b?cN$ z^{3a-UXU#tY@9LfpHSXeGO8t2896=_$nm|JyTerb31i)+F2=kH^Tk-CduwQRe7n_?#!0Fy1w=Uv}=dLY|p5}-&#IibvHTxf&vDP-tjF%=y|$pn(- z3HxmU_FMny7FN<$3p4U3 zP<7RRzyjASP$=u^zaM(Ue8bWH_%BIi8t0BUTT2pbde-ywjRo()njiDu4lUJvn z3_Y3PXfZ@$`4kK!^EMEn5LjkR8fZ^XDk4OZjpY@OLRw~C@i!+EqGm!) zUJy@$;Vr%nJB7gqtVvZx;#62d(K_7C%A6@o985h9@!fhqWa4kJ&Fr&#uzG7R_D4S1 zx6@rx0ty+V{nqFy%$T9Y%DUhVe`>U1ZhU5D_T+I_RUe!%X4mo#S=ztB=<#diU9}H8 zlaomdyWv_?^VFmi79IoBd!(ih9mKBa`0nQ&&q@tg)33wk(DAj><&oqV#Y2K|omxQ! z^?213qxwSz#S;jcSe@oE^mMt=lLi{7iU{e6B%_elCTATl;#-qtV=1+3r2NL2nGWT4XNh+O0asgTIFMTZqri!?Gv%^eil2bu!*{uO8r8d}wEgg^j4QA|*DR)Bo{UInc{QZL7iC*!m z+;D|0M9ARZus>+ptX#(w@LFfnR`7Fyx~4|*7De_tb^;H+M9`&$8X_mbUxBCJ5Lq(B zem9;54-UH;dfqPbW!P%LQLCj`S9~pDrLQZ~3y&G4AsxB`Xar&q!PR_dQLG4_ff);b z?FX0<6^1h|2`GW1bM06Uix1U;1K$TBzxeSUfQQ2C-iVvoDTbla^oWxRHsx8lHk_<* zW`XQlq5?U)mNtP&rZP`WxRx55BhsJDL^7EeFGhq_mf9G*^MI`hkh;pcIyWs%NS4)q z{(eF#|icoN|qVJ_YwIF6|aw@L~+?ZO)WU##PL#OSkniHj^chECjmkSoa^)L?fFimlj_He|Y9G z`6ryk;rv7FfgBqmRn)VCg~4`{1eX;=Ap7FH31^mKi|-i%63qF)ULnX z&hyL64p<)kdQsyL(Dtznun0Q+nPrMc8kbaIQ2~bUCuoV2Qzr z4>~PT?u_WmOhw$iUa|XL*v;91qj}%5*Q!*m!_elc;sNKRFSOq-=>w&D$!`sy_W~9N z>mVAkq6&JHbk(BXGw@|EieD#vtC%@LQMG_gv zM_SHCw2b*_`quDy9Tq|V&85R#`z=dploqbP^|=1_=68xS|C4Rv!+tAR$0&^-L>qj- z_X^k1w|;5Jsec2yt*(|*;+E{?H^l^6Hi}WRX2Uzj2t>PHdX(d%YAp}bu#z< zf5etyEOIJ%{!nvy#*@Dq8Tb9SYpH%~s;*%Nb8n>`Y!1T^)Z0Kk8Ow7Zn=1lui%M>pq^}w4P!_I(7X*qC>?r97L<>0f&EraB9V#fA9G~Wr<|FOF)9+$X$8X=5&<-C0}+a$c?8!YrYDk&!bC23P(!|v+~eD0W6kL6 zHmZJcH(qd_n$#NgSa$D3jRbhq&CFrDyBy<$S>RV;zs2_r0QWKXsM?A3w~xFDZ&XL5 zatGGmjv+$Hv4ch$R|aFw=K?!hOY}7G?>oiym17vo{$XHxxL-xBdYTHg90ZI~JS*2o}TLL=9EFpx1-U584 z9W?M0mC`|9d4OVF1Jt^5yP%n+edjfT2ePnnoOpXe|9q$sHQ%j>DqW$}I{2Blx=djL zEO2xv6kd*Tg565VkutvJwS!%E81kn1WjbZcgEc{8)S+Ao9zK<{yYVkf!IJ?k#4BW} z3aZd5S_TU{V9++IvWTBJ(1Vg14e`;@&mt7Ec!zbKh4mtjSAJkN??}xIE0+a1h5PrMrG>HQ4#sf~7 z`Z*l}If6xLu-_{0K1YW3V{ZrdHgpIK#ifB|YY=9Y#33rBgFc-^c#gu=IZEe+os6&b zRjK;6Kl}HLDm-?3U?6V`Pl)WW_S?H5vj(FC*lzx(GtM1;4{5M4NKV z4`_Ic1AkCbqakrb>ll_@HnTpdDY9W|R2doBGej&vPF_q7V0eop zGLVn7oIP+##@!Tsi@{}=d-)eb(N(KAPD#+-Kf!qZbHQ}&2y9osF(ld+KhHQJ__-sr z-*TDD{8y?ou3-J`qrdlYZ|Xb8>t^PXYbX6L|FpEUz-%m5U@`vD<(N@pnbRSdKDEdA zH+&rG`FEYM+2>~GeAsU-CG_oNvCJ8`*!GG_>7Wl(23NOMqV1&^mEM9t(8P)tlkBo- zQ6x`KBpHRY1jSoUCPdAIoV?aWZe^$>|GUG+Nwg>Qwa_o-{hg84hW1sDOA~9omH7WX zP@09q{t4kEY94*dW?H}^Fb8rQRH}vg#?LOu2mJk7zP-CJ(_-6Jo{_OnK z^6K@0!H@y*1iHqRAh+a}1&RofWCs#SMj@^3bk=c!-jpxZSLd}S$UVwdcCW0C+-i0o z5%(#_*Uo-x7=ZZUTOB|(kNtKMh(>O9VLii|UGw~iR^<{lb36*~N%6Uxu9n}J)%e9w zq9FmcAJ<7M3y9Q#!^LGVHf$m4+20?RTbkNstcJK%=!lmP6P40IA4$(5cxRD!kM+4p zrI+4%yVQeXzG%m{Df+gT=*o39P&81vo%Od?EPxFAE$+TNGuY^n5ZG@`hc_#-2`OuI z=I2`;_PgQBHNIsWZHrjTCd7p2(GA#-l(qI)0$;-mr)p23vj!fdW{k(!J0(tap zgkYUL$m>fOtj5bzem_`r^6Fa?KHDN}nUGDa=pmX=V$Ji)qi+TBiWmI06k#J=mje89 z$UeoY-j*%bE*V2IuIAPtd4S6*y(3~QZUy%;JA@9qRs%NRq(QQZbgdYV<#_gPVGhq8 z{~l*UC#zf0(P;!t4>Q45HMlGae^UWt!vS6}hyn(9DTV^#Bl_|&k(@J(6Xu7uvUQU5 z?KDzo7a`o%Rx!;s_XRi&g^tBHLpfaYx=LNS!RAr(wfDI%mNl8i!HLW>M|p2z`Qh9ffWLbZ%bXheDgt}Y4# z(*g83c`-S{Kq7aGX@|otcMg4(il$<^Gsc96FhUK$*!ccj93?nG0arz*oH{S zP(xHsUdnQ@FB1dr2rJ$QEb5A!DNE$L?s%#_!8p?$?igY!`;OP+j`A92Bh0eqppAz# z1Q@}Y9N+1b+KX^J*s0J#TvLY_`>@kC=Ue!a3}L9sPBVuYMshixNh3C2d_mG|mTrdm zz6b~q(rvHqds#T-j1kpH5QZKhB73yK)z2#~WI!57v!XHV&?e-@*i^uhPeX*hBBY6& zB5ou(23#o6iqEKnN*&IcFY6;65E&CjYL8#O;8jTc4UY1(4b9ZImB__z{2z6FkPvV7=bKb4iwpguuf4~!hx@4@#SHg;C{)WZ+p!7K1*n9hxuv| zhdyb@moo|>eE>d5}C;QsvW_JbvU$4dquSr^LSlouT@G9UAz-d*-mLpl-iO>*XQVrM>#{ zrlVyk%2e|(l=#dI25WKU7ESxIeX1Jkh`B|CG{zG{azO?u=9<+$Fpe>dLGMO`0Em(c za`I|FvJH7g5*f%xO3o1Vbo%(VpZmg!^&V6)?`z`MKInK?v&iXS zg6o%2k2j3WEDrrzVb}a$7Gx53Wgq8V*CsG4hd8c{ggOV<&Vye=kHm;-BnT*mc=2_v z7?s|FK+wdBB-`c2m@H64NKYggg|r04TTUiK&4ir1)eD#f!!T#4yYUfjq zQvQ!bPPGcoUG>))RXI8>4}S0RviaiI^}^J3L)s>sadVD8x5pcO<)z}5-|g(W`CG0# z#QBiM*7~E!o3m#`vm_PEDp95-%LEy*|c^Hj=~ zd-|2_Za>H=qFiGx&v(LeDk{v5f3a4`Yfmt}6C%$iXUQpp6?=w)?QDm`JI}ywvklKTmYtZ1O&V3$I;Vsl({h^XRzg6 zCK#9xh~#SUfcz_AMwf7D&z>Ze$Rkcx`@_H@8EVN<7h~3^25iHX3{Kch)wep5TC}w( z6EK598q^8K%;0i8N4Y{_$uYhS*-_!rrN*$t0m>9I7sB)>A!b#$Yv4CM#Xuwn`OOAM z>8w%UbBxJkTf+Y;-W%W{kpXii zOtL*ZTJmP@Qj-eY)p=Eg6PV#jy(9>k`z#cn$nZBsY&_g_iEi*y41V1^!w`Cv47Hy z2WN`&a^#KfyWc7!G zVyr?;H1Rvh(S4!ADxWy_78L~4s&B=j`!0;Bc;Dao)B<_{7f2((g{d{rA1&9go*)~v zr-7uoB1gugGTwUC&d)nr7v3~ll|LO?z%X}yt9%F}(!2gM-uf<=BlVkKud{~<)6z7{ zSY91$L-&!%ugJ_M<1{hEJ+a?e9L9RHH322|EWs)p`DqfKRg}w7;oabOuMN@e6|T&JShnF>`x}aY zso?>x+v3|n$oh4~ouND$B4SmUt07|62!M$;2Z+>l?8Ceqz1)>do!GO$Slcu&*2L%1FSPIPqsRhYcL5GQ4oBpL7EQZ zN41e2G8psH2&)j03pP6A@&-FvsDaEw0#LIuhMPYF1{i?I4h<9D(cq->T3@>7%6ur zTnE6;=iHQn$3#RN0Ry06cc20!B6bWVc)Eho=MFLK2;;U}!DQ0l;hH#t#RU#gsZ1zL zx1lQcY*Ep^UiZEF6Ci{AR^dl&bezDa{Z_x<*9gb~AJfYHhdn;z7h~ebpm$F@GONB0 zz5DylAxC~OG?=4ekkh>{89k&_ou0fx6oA_Mub zM}}zPt;`we(m|m}UGA{oI^=#6X~+vh#p&y$N!yi_wam5TZQ{~?d&_?{ib$zoz@&QG z(dNHng$)upcKth@x(EC1xPPbkbB8xdt#p+PU$8%1E3L z`Llm6IC9y)@W;2`;~dq83dVu`cC4`vu|ti*=9lT)QIfcIj9JV*aSd_0wGwGB#i&RK z>nS1)@M~NHjUkGmnSiAlib&~+B%_d)pm@v4gs7>&H=dll^2=>Xk7DJgLK(5078?0` zY!A+4HA&DO3fH5L0V9p^pbcH+{?1L$_XK%vTOdU=ZtgyZzD0PtKS*cv3-+N-z!kZLNp{gUcUrTz$Oc zm3F~y*Vw9?AT9p!rf>#DlMhPKlPm_L0)|ElMCjB?w7nFg(pwM+nplx!yWAL)1{%y2 z5z-S$Mj`D553IZVaL=TUB0DqU?B45@pPE$Ax@e9cI=YJUbY>T1Ua={%;WqbMQa@qw zJlJo=+xhzPR(vR_FwYy*{I_}7BxBOP{9hk5#513}L|NpF+p&dZY54k@=^bhpzP|i@ zbfV_6_^tiEE|pt;^{8$43?>QDCL#P{@cPz3vf^9qSp|WL@Pf{&L;W|P8j9QqzByE4*LpKF12p1?Ph$nIBI7YEKPW@6;KVl%Jfj*w zd+-$d!lX^x$z>RJx$1nD`C8tEXTb?1kb<eqpB8Sh+Bv*Y@5$SPPJR1>}d zfBeUSjt%V#q$T)#0&Fh(n$d@J`3(C%shs3R7ngjM*^Z-xv>jIi?&IHb~)I=&gCVx66 zY?VRE;@dk5!xS|xq3}_I7+khQ$)CteDQ>=4Mn3d!_PiM;iWiYb8?Wicyh}2zL?zG;aeDilKQx5h*>9WR$j~pdRuwA!;V9 zB17P1$S=MQI~8O1NYupZgcy22M1MAPxi9qWw|XOJ6)c&LJPrmgFU<(n%sMT;mA!8- z6x&ZxvFy89@Q#xO6yZw4+yuL-1=mF%nD?K-f||c|{m}<6cg?Ql9on3}-QBWtw*+^{ zo^a%n!Pv)nIv6Bh;>rAnASYOVt9Oqm?4KaDtwF2u*L^#fm`JT;@U_u~_inB9w^vOu zsy}3)&WWITizM6OR%T2(XwTXX%^imuLwZg==-2F2!T^3>z%t#hgeVR-=@M`8d93;>|Mo)QOzCO=KygOQ zzj9nGRcIEUakc2rxOcb?q%R9vGwyM$zio``Ef@|T-drtW{q1D4;Ec5P>pH1x+jJI_ zr1%X<5$7hng<%xH-spH?0Q=xM1iKwwYI^O_h{dT$pt{H!E*Gn{DEQ1Z78nj0tWv$$h|Aa^XpcHsjF;qobv@doif(}Mr!TjLylL5;FtEFtDa5EuJ+7rCMcIpY zG9~*-0Xv&{H&VC>$pjO={n7{FF`#3@qCrkLT^0>ot54@y8(Q4%*-^tiITtgMfRz9j~<`i&e^-II`!Eg7K;e@iil&>f72l zDL+mPku+7!!c;pn+$m+llFaZ+ZkyLISsS>Do7Z8NK%*1Fa|Ks&VO>O8OAWvl$~BTy zxjhMhhBl#G;GPb){at+-zJ{k0;&h31fWs){7K&2TqU{D?rCrCkKBmED{LDe1)-#^W zav*$EL8%+O@*x$whk`NzIX3KKBRI|g-fFXS284qog~6b#ka`xvOCY{~6p&EdG?Y;9 z(3Do|r>?n%F%vym?vL$rTiL-Z8RWEy#kJI9pa~wm4T6DD0Y)BzN4_hg^-(z`O~~=_ z{vOiDX@wI582oz>d(I+L%&@OIGKtx~qCafkQ~P?_3gRrUW3n*LJYJtU>3O9LC1HKC zkS1}tQ&`DlrC;uY9c<@k94WQ_1aqqZfBq^=u)bPMrevsDnbTFrjDMRe^FFEPN`V|I z;ym=FZ-Sw})l6-hpT?G=J~O^f#AM*$WCU#*wSuPjR8 zxd-(7su(g@`>mYxYM+2epBbv=94RJKw?uj=d z+5c1I3eu&4HZpPic|HHlQ*$!;nGd)@Q(g&iEy?859}ae%oPf%_^%Xd6`luLF+oW9Y z7|g{#D$a%VnZmh++OA>I`P{$xtV~WkE7Ce%a{b-d8^^A{ot5&omnn`S0!=Qcr(f>0 zmj-P*;L&b~(O)a%W$V1x)ZLIyD|CrEr~?s-!xzM+LJP5q7^@HyP5e%BbQ2VBIhhbO z6W9eSR{E0D8wampOtZS7*+7~N5$cT2UMSRd{v%zzT5g*VQhGK_u_bP%nj;WU#rKw3Qjq_)RD~jGE|{c ztIqiQ3Y&1s@9mgom@jy07Y?mHZNG-}o#Z-B%xxzEwZV)h)QVzMGIv%bK=amV9z##J zj_FASFjquKPb3+IwCfIMI{CQn8R;-AcN}rQ!!uaXbr_oKUG~(35Jl;ayK?Y49b~R! zY?9zZ!zw6mRw_6>JZ4&ZA1;<(uWw{CdX=IcU49$Bqxjfmt%>qMXvahTX0vh2Aw{}0 z(AfS-j=3^?;8$hR{NEd~0so}laBQ3ZV1570chSQrGAf=!Gd{Q%n$^>Lm}kYMUy$n) z&Pq{}nVRINoH6#fM&YJI1ZTwdA<*_LfFANrar(USbz zAe=I(AhN!JP|iCTlT-dj96Rz1D0IGpg0a1$C0f^^hdmHw!!V|t@o6#az^1qZ`$W!l zNqo<{7M=tys*87C9NqdnFR7n#qWDyUU{jVn-_MTdJfUCnUtw6k4wCj%14jruAkW=wWbozox$w920#w7t8ESZvq&A2h4JE#w|Z>Ap#FU2{~m5EaB9f zAX+yTSvp->ROU@e-1j*13ijJE*RH?Kwl<~Y+GCc^BeZ7}*PH`I4%7jZ3XRKZ z2}*>ahn1}8AW9~ zSd8f3<%N^}C-A*k0J+Tsg&mX*KYtMF&zp2dl=7}^I&v-Sx8A!x8tl61%O!u`w}Tm} z{F%P-u^n%(m9DHTN^LI3`?MCP0mQPq?d85qJfkbE;}7D)XOPWEn-yVLeYnQdqpA6_n z$DiLDEH2C_?ic<|tv-t;iNx~SBVrDZ1oAFE z*8!~kVcKsOuA@|BfMWdJqDSi@UzAUwC7*Zeg`HdEgU*-0=Wj=b9RF%O7C(X!#Qu%* z^YD0RZ5aoZ6j4V7H2FrG!m!`4tnUV@N9{sH_)?%n;cQ`F#|ED2LoIL(xa{l;xcCvWl3<1v zt#PdYv%){<_4Huax`kA-IpwI=Y$h=nCMN8H4MJfcHwl0jv?jzW5^Ka!6bXu1HgG!` zbs3hExY*rbiD`j$3gT)X;|BIHtj(2R9-OLE5VIzv&zZ^O>p4*37BuhL0V!nHD7f7v zx7#}y83IfeIibxsC-zxDXPDZ>*`!5;_^!d++L$YD%WcJEv_I(0P~K3g8Z#l- z3@oW}Ttc~p#ufqq7DZZJEWptqvpeu{)IjX&1q%{a*FY^Hxf@b=wo zxlJp`!5O*lSEaFiiETO6#%xl|ZiFnh{V6|MPhEed2m*7{q5Gt*LZ<< zoAH$Vk#P>*KDi|2f78JC>1Z*|Rm8VwPsrZPB+Zm;)YH@G6`=UdS0e=sogLV3JyE^> z20SA7!0)k%clA)uhO5%@N+a?d<(9WWc=L+q+ZkscR}>;mbCL9-V2@i33qnk>J4&ye76=gRa~rO z>RT_kb(g_<$ij$}Q606%D}^%G-wF?I7fjIg*Rd0AuSoG@JfcETkFOQQ0{3$tlm;MZ zVnvfhfMVzq@?c}{e?z*ji0_^3lNK>Okz^Fm+q9E03^5|bV?ZXXB17P1$S;z}pxpAY zt%)~KRg$f5`I?_pRghG!zxCd`IkXAP12g!_x3K>Ct&l!=G5`z5|0CuI4sXKZFXhOx zS9H~Fo*HU zON~GAYwr9LKfAQLW%r2de|M`vR};DJ?QVBh2_2~w>1Pz@Mv)AQ6<;fgQRyuR1Wha^ zOPht@>DNkM!Z$cOx0pFK8kC%$4xxePyhr5Pf{NWy>wz=M%w%FKuNYbX%W}(33*g;Rs3J^UZLGh3R8Q_S(Vm z5(KLSMFfpxXn=JWe6aLjfKyOWP3N2aaunmX<6E1=0W}RVV|M%x;I@d%;DQZU#pljU z$MA~;f&6U39KMZHY5G>3&D1Xx-cIKD7VkzW;POEy)V95yb|4Unp$oia0Qb`ku7LfP zXQWO7*~%E?jA!78o|IaEP)|l>iC>@BH9VesA|gnGo;UlTgK!dV6r&!&yaPG~R*&=9 zTpIU>U?>jz7W`1ZJV{K}N90>+jBcDA1V$ToJkU=8~xA!9@ zkWXm1>qx@pE+&hE-5?qg5`uFa)ZzkH6*gnWy)9|_c2(S7w8;MuZIl6Dp53t8jc-Ex zE#(9NdC7!8pac~b;Bb6vyejsr#q_Rf+)Ulbm&qA)2y_Z@i`WMjIBKFKTbx4X_!e)8 zVBspMxpgcdf3`h&tSNI%SauOPpO8T_Pz5Ujke#y$vnu%WPt%@|WWNnsXEXT=gtr|@ zt!S8tcct7?q9OqMt+DHG<(SfpDJVRJ`FKtcj0m+e`$71h^5xn9-|~8ox%1el+BKL?bM`k1?;!q71(bf zyS^^+9WoXo#gFmC60KXH|4li1|4zPjq}UVIB{fAZY)(G&G%i*QA9X#wqu{Y9{LO@% zyxPMuLw*zyMMo@cO3rfF!OG-bi{*~g#<2cY$pfD8{JX4vR6dS}zUc_GWSyDGf@^;~ z<(Ti>;FrAXmAC7U7R)5hFPrAWd~T^8Oz*wjeNW}bfiw?vFrCc@?USm3ND;q=1hE4m1iHh z${GKI_Zq4G?ZOfBr=O74$PVB8()Un3+4|N<<)1HYR<;exk*z;8{>dkB?%r;!^5y;s z8YTL}dHY3Bm|>Knr)MNqTKWQkY;YyiieglH3j#qCE0S!tj!%g^Vzo(%zEvboPb3+I zv^MkBae>}UwjC_3WT?CQ4|`tlt^#_|vG(}(0Jcjq*BRCBSZVZO+}Ja9(`*_~n}=_2 zx;y_Mm~*a^y8nF~cxpy4zI`X&*~}G?@IQcE;YV0m^Q}HHigUgh%Npc)h3T;kr+xDp zoQM9zeNt)`Ty6Hd_qlkp8y`(tf2-pd_J?JcXH6J0#YLTJPgoQ84si~Z(m{Pe{;KEu zn3fmFe$IDi60x#8O)Rzb+m2@W`UD}8H zLrjEQ_V{)hsYHrklkJoeg#0a-pOabs&%pB>lA_;0{23GWD(TzyOHM z4Rar9ui8LJFWD{;X^@?GG10zuQ^&U`Tb@J=Q(^HH9g*Z15Eq_Qs1QH(Zm`}il14JG zui|Cq&~9 z;Hnk!(PSu-O9fMu8uMZEWdyvy9)2rpr0ir^)6o}D!BbkFpZX&!Q)e$cZ;1M5@yKFfUNkPdh z;h7+`wdk3Uo)Jyv__lmTZ1WK{^Pvr3W!k|sJHQ!2Z@3ZflHwUM&PS#f^kp}sjc?ad zwtQJQ2UvVQtO+vZHkG>>j9rby=mGL>x9zae!2k|aUc5wgLqmH)`VOobX^bMjJc zMtx(O@I}N%MDl?UuJKpMnPJYt9bhREnR06!um26iL`w+{1{bod@du}aWmxm7!nZZM zw2P6+P^yR-Tg0*i4pQzGQ!|$VdalW)3;b*pC=LW5)?lsAB?$R zpi^NhGMp*5A%1fOS?D$4i;m-3=@70v1UQP-H7Q5v$pC}Ks$-#xV56oP2x(vS#L=u{ zm_~-DF0Kd5sL?fk7a6K5Yy6_l>Vjikk)Uo|#G$EGyRZ3>vj%+gTnaV~YOqmo0tE}a z{5|-F4WBLyJ2~_%sWikh2zJ6{ApV#iQ|^seGOiE%aRZPbuHE1Pg7pp1s12Q} zZ`U`y3yK>AqzyUsZ3lhDFNYq#Dq5DpC#R8R3=R}cIgpU?j{00d)bCx8kIEhL%oV0t zMX=lQRT1mKTzt8!+>?{0+I)@Y;m92v(8Fi`=wjXcJq7^T;{?e3KP?2C7I$I5GUE8& zXYbQjziUKp!Ff7MumpRj|F8B@fBl&W-CY4qa12tcYY4uecXqQ?Qr0J|OM;4As9>mG zG%i$os6h-p6cI%SQF1{}UTtk=$TO12Kt2X?Hczc)iL%GH*e5ob`>-5t{wi4EdtNTD z(zWG-%9(=P;(K0Ym`E1vI))MKcZ!qlqjyzY)haqTqgp=rvb)5aHwCZsrK^JS_)ml7 z=Gcb&her-z4&lEQr;B;ycKYuMMrKBQ$A5BG>CY4b*;T+{Q~TINMy}o{*qkA*y(B?g zyTPC`xDskbF)FXTW zURD^v&5&b%A_Gzy?NJWKb(n){6XhBwBy)#=Hu+uTdkdKJx~ttDTn`pp#S}6@t6e9J zyYQ0@lQQy4DwwW1GWJ=gD%ZVOhl+YA;m#Y$}=C9C%-O*=Q@c6063hTx=!QRetdfYX`eT{IS|wkcY?g4(I!h zJKubte#q?SsJAt8`jwjPI0!0_+zyhhUr4JY`kNX0cKuSjU^%T#ZXLe2hkzG-U-&|$ zalyi%{3F?N8!Q63Iw2FaqgboRH~iMsc!W?VR=~-;6ZU|c@Hn}#D2AU<_%IQ8+Rq`k zO#37*jt1rwSh(cQj-~9UoWY|jIxu1g&Uu$xjf}BX&bs|JEbt5XJ`u?qg`x**lUpo2 z9B6)p2bc*TW4&Y&U9JovLy8N*lx&hIJIaB0jY6%8XBZXon(!9sLFnSuIpo&EhiEu# zwPL10k34D(N}-NzAw3S*6s|#VbI9%dAXz7*)^VKiFY-AullFbt8FPLy5th~_x5_Fn zNQ2V7$F8G7H`d5xfJ0fLR0Xi#qQNl3(YQk@RSi)E6JHSTEx0mu2P|5P!=kY@9SE|& zi$sP%>Teg(k2(f);h3fID5!Cs4*qll&K~HVGk)mN3k^J1^|069wO9P>)5o{=fwBGx zUr-#^Cb#XPLO%jb_PC&p-}%wv;T>PY8(fdkfyM_80%L?#hj_qy*IohnBXdI(EF7C% zwA=6J(6^wNVm}BVzkB?Gj7%ve@59iuNd6HRylj)TV=Cu@2GRscBnhhsl(@z!LMyX zMF&xGK~7#w4q$kroG3bCX;X5R!+x8<-F}&X(XF-LUIw-4@7Gp!o`(IFMtwhz{yjYQ z^BJ??;@F$Tvch3GMG0WPo%HcJCj0yeyT_-WDKUc#>Xu-|&L-|C48=Z|0OlsoOEBkh%Ad@D^>b$p#GM#Z**VGM}? znzu-@U2ZK*k(-S1ts;4PBFQMEB`Dr}-md12x*9f-F$3p-iYTKp+J!5jnZPBn)T}g|ymts^T zWI#NDpoujQp-_7m)4RdFsrpt65VI0VMj`D55AFVcrscrrFxGzio`UDf(Qq}IbD(6% zugZ4Gf(e|b6Ug4NqelM=QTI8zDLbz*6RkHFWvIG+;fiNp=<~A&O%~= zX7o%^#!WGuE$~Dzpr9SNM)92Wu$?3RwA8`#kOUejE_9iwt}B-VgPUZ$T5{An#hA78 zSqBzt&&2uU;2*?;7xvo#=b`g4Ou(3uOSN;tkjy#Xan-QFBitDI3p0uH&<)O;mOGqk z5$kW?=K2K%QTf_~)k?!)5d0%=CI@dV=D$oS>=|m5dHv{&GdDD|8TMNZ`)$=#*nsI8 z7yaNR4X6e5VqAPKX)I0G74!4 zinp9hh?)wVaLUOmzufjjYM`q4G);vvV!L%ZkTW#E^gdLku%$e)I;nnV+8h71?-sJifeEh)t zq=I&&Tx)$o+)v&p@1OYSnB26%)y}Sw;)9K?#f2+;*Dj3c?}0r%lggNpMd1S>sa6!D z2I?uI#ekrRHP9G}p;>^ki2@Q<*ymD}1EtJL;3X2ja9BLwuD^x-*1|l_L(dDta__;L zu*ju39$bc@#xtIB%Aa>8zWG`R(rrtPiRBMN2wttRq~IpgGPh|l&%1}%Vp)Iz1@a}M zzVBQET=dVl_+;Q9oh zD@$Sb3A3*B+cp@(0z(|UKDm<>ICg+#312&S(^8v(A79)6Ht>5!M@rVifHZ()USfRM zZ)e0ziYto-pH%XzH-NQXSl7DWYU|GN!eH2b_`h&6t3s(v$`H?j?mWG23U4I-%)U7Kn(+fCKl6pUw|3ygbQPZ2ER9=d!R?mq{yC< z^9v~H1qjeRFDy{$*>M@I%MvVkJv~sfp`mt5BR4j3ss_yRI7GD$Kjc?js6ta8kr8O) znrM-&5bOyExM-|Ga~+IZGa$@MNlBAbyrwZ=UPc-i%3L8FGZ;pk37jci&a_k(Uay|B zFwbFpyT#98iWy0zi%7vyr6*zWx_Ps0%@&!}^uNU_7)ouH>QF@gn6G4ts`O=els}I} zGfz2kfAcZ4&Vu0NoJ&N>$8a6ny~II8fbl{rJx^ezami0c8pEwmC{Ml)Ps1^zI8Ubv z`>nZR=bA+CJj;z1!_utqya&ySzDhwOh}ScO;R4e5B-z z#F>!H-86I)ayxk=Mhe|zzx~Fr_%wZ3?7Zz~J=}zSrKEKSPByzy({)^)~k6&SqZGHEU^Zz_~xi35qy|FJOO|Ih{ ztYaFsTX;qKWbUj=faWb;Oc;{|iU{e6B%`#&QIatXxJN~b0hzFh41t#+zhuc`|M9!E zy0WV5#&2Yh3d!7+od#Co;6U?EBHp*(K)r~4Ve$yr=+9AvZx#JLR^?iY%)w@v?aNgly@I>Rb8yEf8S+L@3W@OCzYUi9zhw>f)u zW4^4hwT=Nz(6Qfg#&?APwHT5ChVk*Wq8OFlfaBh>7gVV-=CGgPGtrU#W=K!lkA4zhD$f$ZCRRymjF_oq2gOsJU|5_exE- z;eOS_p!QFE+4M8V?2(%>u>I&Z?3TYv-0I6=8vD_BYqFaGo6O*? zKj-L)=C(%A+AmFS!cgW2%HI1Ooq*)u4argGA_is4YO`~ZjTLEx4Zb4qe0tMGq|jNL zrRMrFsoW;ZkxmK(#ZBs1%e_%y{6P74!0UCbq>l+)}97CY^Bo~1^oa&n##d zuZje1@Aj72Bzmx_d(;E7QM#@;6IG4}?qzq1ClJA_XyJ^1)w$P|zy z=4T_Em5>u$^McBGnCn&KKW8!1(K4PdUBBpdOq< zP{d3BDmZNJbZ&%sR|oq0j#K}d4yG+$%1uMfdPm2{3I{HCWZ}&+>U`gGKLGo!z{Xu+1BVvaJK4eihlUFsyuyi{0DyWMJm|hsm3HnH_tCFySh^*NVJEzW#@P2mti~y9QnOG0Zc(0m2rq!J-&SG2 zt>Fa^d+#T;SBFR9Z$ah_Bipsoh_=0AR5JH=eQRRHiwR@WpoK_9g!Dv`QAkTryyav< z)Ks9%<>a+4a+{hNMk@5cWV!9@wxv#Y3BLV5_&S%|8pzJ+=ZEFNFJJ1oa}K`H`6J8~ zd~aBG{>=!MDts9u**|}UTitvTTRY!fl-83U_MJz(qMFOhHLCE=f9Gg*-793%*1Hz( zICZ=xG4EC23M2`ZbLcz<&5kjEAq?rC?y=r0#5 z&U3$qvFS!kVr$ij#(L>=vRxXdSTKsBUo`;FF1yPHT z=26bd;ZdX&ddS?7NOB?Bz+HoD6H9xXML0C}5V4{P!G{PNi;eI&&~bs$F!qP=IUNmO z51(2OCg+|#R#W~hR2V^%`2D`*zL_DkW$Oa)egfgH;e*csFUeyOt;;Z<&m!ZqPe)9GRk&i1Iv8Z<g*$L4~E>!ux9M1&7g63 zL-Fv*H>z6mJw+3yOxy&}sFAA?w*wj0OaHnm-@NPKlKR(>+td3*W8da+2j~3^)RO^; zW*JifpC1__u<8^Yr0DWuh~?1@8IT5I;F5s|g}^dn(m;D&piselZuF9k*(?!%Q(+0Q$=ngCNZ!fvP4uAn z`JUwpbd|rEXq?=0nLIiNc~D^I;(IbVHKNUbl08Awz064Ed%iXwDakj-ubYxPf8ew# zOeGC8(%*LylKaQ6m50i|J*mFkEG%6%HRSJVY%Lp579RgbaCLvJ^z;mik_wJz7KjjA zD>cO^@`&e7B7o*CUQ8I11{#Yt6cN%BNk$=UzP7iR7wS#n!Fsj;Em!!6=rNeM{F-rL z5)&gw=8WF=Wi0n@V8!>dmnh@@mODD}>Fw^Y{*Q8(`GK|#o|UDh_d{QazRjD$SMA@D zmV>Y0@KV>>j}O$4Z0$U+qRqDLL@#u!$WZ#muf!WV5!icyLg3ofa2WcfwzKc=c;sa!GLbAL?prs?;bM9g9%77dw z85~{$(MHz>OG$A{1=eZ!O23bq7{gR;7wsrAyR@xnHLSW> zFhc~|!WM4Bw1oz*#w0?N6;+%4aOn@xRtsvi1nZ37uC8_FdV#UaJ_icRr&dC@$ER0e z`~h6OBb8oZ-(DO;QT6d1!u77~Qsm7P4`2seXiHgyyABoULnCXdK?( zs=P8r^#U^G7UNra|L7c^{Z$MJ#B;DX4E9^d@g)+Bj5?-Je$8}6KI$3|?`du@Dr53R zZ%ii3k4*QHiF3KiGk%~>-!AU*YqX417pg-0E!W?Ab5f|hC8QdZ8y&|k8aCLGqw#C< zWe$S8HL>pzD>_+zY6^`DT|FE*8G4wEqGPa%7#agPc`-SF;VqKLKt9rP#uGKuV1v>r z-0}ETOus+NPPh2aq%lU^E5P~mU%N~7`c-li_S*oPggUg}3hcMFnPXS^w;kRprg~J| z`j*QMx4hR?%(3_ItD8?3aSl%^nEn4)T=KWQT7U6dKc4jT7cP+vwBH`u2P%I?w!KQl z*Gh|3nGT9ih@?7KjOwqBL1_SjCRVqe=;^dzg`RYPp_>Tli6oP z?A4#!w9uIN4ViBJxTNRDAh)Nt4r^A~!QmibSBV66kzg=Lsujhk^cDnyCRV@P>TU~8 zGAaWU-sH}^K~?+mv?$*h*&fu`ZppAHry!rL8(^0Bm2q*Z+r6NCqj}Km z51KebQV#qd7$^6E>AGpyIQL-AeUcY+it70^g^0Kf>EaPnc#SZ{aFa)FF%5tTJVkYb zMTfit%HaYQ?zD6g zV|WjY>bc`xCO&w*LgTZwUff?aeDaJFR#(_SYUNOu{UD=HyaF32J2;KD(^MR3s3L9} zA>J_bC18=E;|Nd)4`xePT3#Ib##@YZsE9NO3eRE8Z>!N`jN=-M9{$LqnIIx*HSufHDM8J2D^CEI;&tA<1Cp@_o=cf1cC&E_1u(%i{Vypq_Nr`@ix_9S26PU6n?DcAq8`J<7C=vAk8ic_R&mIfE(EFu73ejwbO7sb zKLh*iaXIeDQ2GC7?`^;-8Y4ml)-FtfOEJb z@+*34D1lt@T&erwmhWl44>p)H%)PpdZhP^UEWUS*63pM?7&));9CsEmDCq(1$vOr6gmA&$23U3+dKSO~x{O{<#wo zSyH|Fw_WvPDIMS9;|m}8nvJvpj(bE7T=MOaur+@xjZg2JPr@IN=xs}J{f@Wvhcjb0 zhh{>TMj}%~AME$1ee;V3XOsWy_wg9eoX#9h`Xa&nZG`o=3KQc`47nu?iAAQny;x8N zN`ic!8=^9P;z6RskO^S9%MTMnlouM~hIqe{ViNKa40jcUkhByk%G#2+tyPHIvHTXI z+X2(u<(Zt+%llgd`QuTrJaMYV^MSeEKvej?D2^T~^ySR9yrY5b=Abg?jC*EIo7pGYV%9Xn6Rnd6v(>;DY(vrdvr> z2ue)8R}4{k?hqx0OaRMWe%z9`1Oh_>zmj4S^0w@7>63C-A#OKauk!vD-hV5^Uv`JN zpr(O4bqD*$WOnuvK8-RcWBRY+8GHVmk$W$aeto7GOv?rmKH;8B_Zh}%*m`0@n`jus z@!O!r3}PMR^|IJxbK0^Znvs=79HWwQ{dNm1Dc7HKX~<=K8pE8H)G^u*w! z4WiV;E`;-7Ew6?UIw^hlYXjb%U?ij$2S!M=bk1|)|mvd?WK1tCHtxkCTnCZqp6?4i; z@o+Xwuua+bSZvuL3=6$*A+Qx?nWmH3d9asIY`MJS8Otz91X?ha&nHZ!gay)3MFj!W z+LjW0uo(|ZT6m!}Zr8vG!U&zJvnEjfG-dHlfuSoYiv}AS>`3BoeyE>QxrJZ?2v#s- zI*b}P2f=1hW*{s@n017sVwQM6sAC6B)92~eCk>f+drz6*1fO9dRoj-HG8H1U$w7ib zFp#OlM%WA!xk{9nd=g?d#+q_?_WHaHnE^>kY5Derz|gsD{Io$@CCbt^6ElTyu!At; zAaEISb|X;a)VdwfMbHTGS_D)9vtQ1dTA$!s(kLl0m?U0MObH_hg+u0yEOO=|lo-pM z7tZwg+>q5@1rS(LAPGhu z`T_hEWluMUn}>EpFL0JaN`-<6ZA(YDrYyL{Fwm*<+Jg3`G4 zN>?woG;XupHd~Ze@?Srio~yk_xso%_LFjKq40p!|j_#n+tukF%d-}pK(Y%-_7{ZXk z3pHRnWaSLcl>BWHr1Qr~bWVF{XOMwq9VIQM(zw+Cclp7oWNxbEB~vOZMReFh1YhQ5 z@mn6Z0}0l+tbi2DWT^yl)7ONWG-KAQ(7Z0=WniKo{MAS}A}fSpGom7^Fj%o(x6w8@ zu#QDrHOY7-#XF*+-HhV04i)V6N-9fP|E8ZZ(uqr<0 zJCw$4M+I$1+Mjg&VEKH46B1v2jf+kR*IGhdiv(B;hPwxx3WyEnzwng?3Dy`ek-X-2 zCl9xX^M4^?mCd_?M(%6i8`TRpfpCGun6F^eJbgh={;CHXiQDScW#CCt-rtgpoy{Vi zN0)e-Orw&7q3F~i3M>+GVTh+8MP3(PAZoEHF=+(yj@{|X0+bhkqP&h-1p`xf6j^Qo z1tIjEP8YkG0B(R{2Tptsl*g?tuLJ|53)JN*#qEzROK@OFmutAI04~#y=Xp(iH|{lj z3vWI!FCCe)@5b5nR*oRnOf2_uiSCW3y6-1AEx9`@@???(YZLR==!+4%e?8bAzH30V z!KJ;p*MZG2u_@q@cJhN^6?ojX4r_NbCn!*CI4d<>lsUAC&4Y@vn!%zJ(WN9~hsQE0 zk6WMgp7mg^S5r1Ct6yP!i&*`vSy(;rV~Lp$+)E!denFqad>`Y(_BqxLoFR865B1O2 zfBMQ*^Q@lo@e-sl~cs|$xd|a@u zrcmTMg7-d(ykdy*w;%{Csak$gX4);tOc@vw_>~lske6V%t0;t|rBG4Ui4nJ+>Q{+d zJ@^gjTl*ANYGJLoUb-0EV@^eX`@e=In3KLsqccb6CHY=oqIc{Hhpzeg5ve^E)FXM; zgG(iDwAbD9vLrn3RK({^$$^IKOQMSgWoG0bB^j6GynR=3;ZFL%83TxSKGJ@sL@v>h zVz(Y?UF~Iv>MF^ECkQO5G6=)cDqu+DS5izu-jf~%s`R&;t!0&QLb#WYu-`4VW@8gR zd4a@h_sDzfM{u|JcJz;C4vjoNX5+O!y$5fr8JEv-oN)HMbRsgxe}|y{{9Veoy!*PVl1VR^V0QT9JR!j z{P^D9EwtF+Ih{h*9mEOZ0Zt+nL3!L-Mp7XlqMERtO3%1)#kj5Gggx%g(Tf=5nU*+2 zs9I!3@5I|dkP*d$H|c7`DY@mF#&+s!Gqn>*D08>R__FUjqW;K`tb|t)2PSJpPGvxE z8cY|b?ab9WGaE>o4bbk8;uKvTx0`oUm2pBKLt+uR7HazY-I&uUo zaU04APUvgqG_eMuG;jy*{xeJy%+%h-=HbW_&n-wiS4V0uPXE)QAN#0f9D2YEq`f{# z#*$(8I6B_j>^Kq$aTr|S;r63w-*E(cw7SjF3OE7yk8|86ct2er?|P}28diqOy%z+7 zn7DV5Lm<0EUTN zUe{+?qBE8q_57_<%f}3}N~(-+?cpbPiT)t2_V0nuW?qlCbzuHh9>@C_KmFCv;+eLLG5*?+&y&p&l&mLYgTIw+cy<*{+1VK)&%m2 z*UKy&Xt8yBg#~4xB*^u-HALmQLx~|1z;c&q48zhYU`XUwQcOZ#g5j>B5R#TcMOi0M z+;&#q%7b`L8i(y-mAGA+d0b}iTsmVJaci%;^aHpn_C7@DhF!} z9q;^6T$J53AhjEVsY~ULPm6Ez`|+kpEK}v{nZlRNA!WnFP7a2a-N{+267PGZB-;_U zRiXhVSQ>wvQs)aJCEhfn1{2fl}A-Z#%-xXc3MM)G-v}ioPZuH6(-Wn*c!(!V! zMPodZm~uSFxzEkPc zZn3Br4EBS%p!-JR@Tc&!5$13Cc9F#aj7MgE!7z}Y&R|%19LI2z;9Lga5y|#cY{K?5 zOpv4$D5IjRrr(t^yOd7gT>GvwW#DbNg?2hxC0Vfc@)ygQ89%|E3IK07!d$NyLka#C1c4=0ewYyB1qe4J z@GB`MAuqvjS5XK_y}(P3in6N5ZFfj@9Z~e17p8&DZ6W7&APos}O=h7N>gZ(u>d7Hp z3&sp)y;52IgMH>l{@1YDzmUGMp#i*DH2JL+)z6%d`&>UA?0@q&`>rfKB5WY5*DX%i z`10XHGW~cm-Kh6Ti1oL(HBS%mc|$XN`B-deU{?TnEhZA@2IX(dD^S+aXBncpO1h+z z31GR)APh^(fa(m1{7Q;R$h!m119ahLVR0lL!g?7~!#8yRYsvm8G4>Mls(c%rx!eZ! zMSXF21o3gB{5oWtMlEp`#L?-G9ge7A2QrwPc zYavw;aBw|Jsi%svYg=#wnpH?nlG~Ozn^wU(P;C&*2I-$Ft9QyNEfD%TYLv z0)%4aR>L%!p#hk_J;O5C7m!&*e~UALUV`Icg2}8n!{Xxz<~Zu!yz26%-n{HhahgqW zaV0?cI6<9k7fOgLJHoCt4so%z7D&KrJ;T0j9)&e7E=Sl3g6)^rkSYQ2Mvhw}15(8k zI#ak+%mm|iF2t%If_3|i?P$|B0-fLm)a}HBv=L7rySDQ{GN@;Gjm4B#U&zmkNqFxC z$qd%t-ii5Jyx>FRY7!0HAk^1gH9_*7rT&^V{9#{Ls zMTw!G7AdK#y;x8Jp^_lqD~70CcPKGUpID~5)V8Hnshc6YUr8|uc?pKQib6^kQ*DKZ#)$0y)_#F*}w8d5sw^cl6$nIBCOhVo*2fnlUgo4X9Z%ye6 z*CUXPPDjJb-lMjL@o4nqWL@@0jVXJ{+{`drS5MLK0klv!jc3Y}1cTlCth$Kv+0|g1 zehmlD;ssb+76vB1VRfw)5DP#Cd;d-4qqbMkuHJ%Un|F0Pwkj)VLo=RO(CpQ>#VC_X zRS~1@ic=wOI>-!4?1+E{Q)O6#%hiZ#Mgv}_m4O8T9wNhD)!@c%9jPhK*%C}>%oIm; zP~yNge=Gj9*ea55HJRONfjjbgJWzGf&H@%Cq^y{w9X}6AWfu3f`mM;a%S5;jffZt| z&y4$sE&)8E69pD`-Vne`(XdRhXLkpLj=NWbg|;Q3)e*PyJHIEa2M`7)0w6TmF|r4% zV_-W4vlP9o@U5cgF2``$WZ2(^(In_b#YUi5Ie@1qO8}t=p}6K;jM>ea2?zy*4ep&j zitPo{TX5|2^<%H{sj1O!?`g3kc+}k$aL<>|A!=`eV)U>m3|!E~3I~U-Z!}e09pOFD z(;&Pl(Ag{SyNUn~uu5loAsBBU{BgW&4lu*K1HB$N;e66OgZJ9m&ez(hjVBCP>>~Go zn%g6sF6&dl`x8XI^}NZrPW|)YkX*kn7JV%u*XTrP#91)us?5pF|3;1c3A*XUk)&4t zS8*J^V@Sf)vPyxy7`FF7F4o(`*iwor1gL}|9VuV~5AG()n8sQj4VnX|Uy2p9nA1fL%P$^wT}a7;J*|w|svBF3S2Oc>U)Rx#Y0b z<=QU$;QkM-*xDr({MbMnOnmzkmEf1mE5@&#FbfAU3vlI_x%!evf`Ee!2pNZ;>?}31 zvuL*$3%r0ajFJFSQiEww+vf(Ee0L}@WCB?3y41F%5mN?+1YK(LW#y7I&CjvDSV);c zuKu~&l&tN_wMbBwkFdbB9kcG$jAbPAf&n(8_7!BIEP&yzWsha1rG#lOD6lgR+0i9Z z&Ew@=2ETm&Exw12`Pe4DG#JqC0E5;<=0eqm_G(?5l&S1YpkvUkRq8^M{fT zU;B=wl?Bkx-EwXJ*uk&vQy?*6q3(v6rJ$C<%1kNXizrH>j8`m64R_Gs_qioim)f>8 zV!Qz1h6H{k#U$k2a^Sl06AGqFtYJs9S*Vlo-Ra$@pr-CXF!9<{UF;?AiG%vE-G#NX zBG;HB!S$yI7Ch?x;Sn;GfJK|?y%*@<;b$0;kIDo^k2Ri;2EQ8dW?ak_$gl;L|3U z`$a#6-|#wl1!s00*yeA?k9MSYk~js+4EaFtl=`R&LW)@5dUfzV8 z2k31SzZC$JV9Ia>2i{!{hFNLwrLF|Qy>d3XS-iM0Sw%_E(P5Gx7ees&GsO7rn;J6cW{r%o2Ns*lu~T>y z9?14wMyS()idj;>Ll%}B>JQ|k7f z>f6~CqFUTS_Tsmr5&Ur7xRnV!&-)Yai$cNo%gh7ya3~0In!oz7{$Tad-Lm|f&yn%- z5B2dOAU{~3YyTnmUHQdW#-@K_Ssz|I&jbT6u3tQJU!?R|v5&#(hY<^YK*lQ;g*Ahn zM>?4Rmb+H-C^Kzo%#?v4L2(t@vT{jW#5V0HQ*`urn$U*cDa7;y+eX7LUF1Y$OEOFy z{Od_|OJ34^g`RGmvC3e9Eq6s~>Ts>0b$T;e8&kC(861_XPqni86(jO?S;hMkmaP0I za~E#k@`VUxz^{^oa!L}^MMtsp@sU~7<43^tvm+A5^JVN$ZM-@8t2fEe@d3vPrI0yF z9EX-2)FzCSS4(Z69Bzy&%MXynm}NlM&E+)XILF)YPWDWtHdzU41DNRLc?PV`JS;jF z-r^YLXR1B5o&t{-N|(cXxp$Dq#siwFsTXQvY z9#Fe^>&m|uiP?&)oAFmecsf;he?pA+CmbG5CNLRx8hY3tjWA*MD~Rm>AxptEaS+L` z{nIx;8F&nfVhz7CFcIwECFkq?6B6GLt3C33aJ(VGs~9}cSP6!XEHx0XFX1i+Jj^E* z%FtRmkt02e&H10_B4q~0J&tjJ&(FlSp`t9R11NW0N-}nMEURQyv2>_5)SqwiV>zwf zS#&Nq_k7dhL=MN@gY&mRq95yTYj}TxY1D^ShCcQ4bFZ8Eu*m)^cSR$&y*MznI#$vq z*X{lHF959%Zn%9!qkX3h#s{uddFX9@{SyOcFUibrg%8PU)Sqv#lQ&X7_@z(6%)g^D|Gr^SYLs$eaUj`SzfRUwiAyebcYfdmCRJm8o0K2(S5pFRa!>Jj&Z* zw&d*cid;DLp=DZrZgGFBFDtFm?qNVwMER)g;~ zeD<%t|7^Bf@f8+E7P8d;F4{g6SXu0!7d=fePC$Wog~QnIoc>EOL$;{DrGOnc&K_`= zEM6Nz!vNU~=$CS0wX^74L$T?zj!a$39pO%>wD_IANE%B0jBH#^sk={W<-NCl{JVdK zB0q$6JWgS!5T@98OkgezyF(I96f-uWs}~Rd!vBPsp8mF&eFt-bc)%Wo9i`)hpr*}; z;yi*2)wa5|5Mjz-L(p1eIL}~LrC)T(s{NyX`AwpV`&%HV0({LOK)BcV2IzQEYVk@a zb3tg8yakX9zWI(x-+aQ(^SlL~+w$UZwt4|X1?BDH$hMQ^iS~m8PHbu1y0DvTiH+Pq zx|ut}B4+nk1Ri7#X@JEn2;@n;<2hQW-6j9ucV}B{xxZ=1--MTozYg8(XK{4kwzeIa zVW=xt{T4zr@n7$~otAH^zpWL@-9a3s2V5W4l3`j2&#x!yJzNNv$2$iFIcYb(O$PxG zq%xbDR>NWn<+xy&{b^_zYq+^94Y7znL~^XdUwOf-&n)oat2_rpj6t6rKm@0I+)v5u zt>}5H_32N++QRW|*iUgga2!2=W-SK}3O5C%{Vk-<&JWcn++e@JM2z{{I@aGFj*5-101RT$!p*J8vJ+w-74m3F@JlD8ImK>BTF)U1WU@~opaZq z?`D7NP6=5FdRP4SAANMQ{cTp>iCLoXI4z?S*}fK=uqVI~&l6JW9>av+&!lKVMOn>o zQgX2k3=_G$#g?hFm5jw;!(Qp_<%+o5<<#0-wOVC9;f^QO`AP3-$})KWZTK+eZx7i% zHMcy=$~6y4q(^oSPT%%(vhe13$x40K_x}BRiY+Flzt$KWiQjYDpv12-qm8xGmhHf6 zXX8DGsg0d5mW6@LV(V@%Ipvvu`MQUE{cT>uwq+R#%y$PdbS1!Ymmem?lz}0EUr8|u zc?pKQib6Uib9&J(YsW5==%zP}NHBQD6L0!$Fu4Pgzd3OI;mf1*zxS$mdi*AR zXkPom;KBFf)%{_RRwttG=4EIN7_PR@gcqr)?^TaMJUc5#|;^{tgPiyA(@IH{}^iTci|HE^= z555p3$>HaS=%2_${MVe&UW@4S#=IzOlm((~HVx?}@ou^D0~c}m53)kbqX&Vr@kZ~XUmYVvS3+c zxC7%fc36yCoBBAVG*46xmDyRjUH9Ep(%)vdPObuTa+$knYZ)P%f%5eUhGJb%GI2e3 zjIk@AQSl8NuEQOF1qw8fh2#NOD0)Y@I`Y@wT|%)B0z^CJz90d&$1ai;>yC;c89}FR zJdE=b%l(OOeFCg#TkMf@;-i!%@)T#7prd$1TWqdL;4e<=RP38CY!VuB1Q6LPiw)YL z4rzLkjiT6c?YOgLS(h~9m}ssSrhx60p1!gcmXTXo-w5?J)XowjEPhMp!PZhjT!?|X z=_k&4X-gIjR=Sd3D77RB6_jB(`n~@F7HY}`gVo_mkxf|;f=4w;ZEWhJrom|yh(Njf z460mWf8>R}`2^*hTnLU{uTWNv?#OS2^@buv(@#{pj!bNgFykO_8FFS2Y}0aR;1&3wQc||9 z$(5BQAx|MFhQ>s$%Yyi{@+|5^Ak*a)OSBix^cif(x~>+PF6pc#z;c&C7?zg8!A#x2 zk}oUQj+TN3vAePD#pY%PpM}H`Y_bd6W!TyzEc64eHY&=ZIzmQC){bmRizyZ1+rP4> z3SF|m*sfa_;)qXV8+Tby;HRvtmcdS4TR>3){JnNPOPbBHi-$$0Xx zRx5xW-*-_N3UCHB};Q8S=f_inA#;upzhkW=|U%bfId|A7u zI>a?k)*U6C$y0vjpU>xduwi=4* zrafYrX(5!EQo!OiB~h-=yJh8*lxldI6O_j-S7t3Bs@$O-)I0n&+(5&qL6D-Ec-*9W z+>79{v8mU5|5_FmkE$%N%Fx7FT_=$-6Ic(10I`tPK;CKPZ!e6DedcjmzNz(Ky~1|# zC!q(b#BJKyu=B79yB+oy@mXnqG#<<{_R^jOZ&DC7>@9;p-~07{2m)dX!2mOlkc}1V z!4UUJG>=GSoKHn5Q&G(KFaKHiXHV(-Woi9)_5~h@E5+>_U9<{jJ{=?m?_<10cjFt8 zBWEdIeHcde-%UOw9}UGEIgC);&FRy@C;sJu0^ayLFO=lK@7o!9 zdx${x!b=Z5xBhhKZwxKnJgWh5(u z>d!?^S;}gwtq`|^Gmn^Xgcq{?{@#Fh zKEVy?i+pYB5R#TcMOn@BoKjx9*15c% zBWj@38n1L94JG8N4J*X0JFXNG4C1!WAR(vr>Ds4*`V6(j<=K(l!IHe8q@OkteCo^npV%4S zW?lN!DQR~3`4vhktiP?bCGez4Fx=I!O%2N{@FEc9bG*?jaBc_K0Axdm+tJ_ou6KQc zqaNUSCJk>aZm{SC$1P+n;(k-J+jC^SyUQouAph^qd_u2Vjzd0e^i3Ku*rfCSo^Err7Bo>-4LUu_MC~nS2b4Fh`{7qhQiQ%pY;=n*m6FZC;HXI`K zt+aJEQ9VBoBp-`5J)cnP5w?>(`8-f%oS^6cM_4snGbxTQM3>almwqBOYVnQ_PLB-% z<)S*mTXE;5QGeM#z8rW*&fg3~7T-1HTl27&6-ZrE(%@jYAURV;F={9T9ov8Xmv{Qx zLjOGF5mnx^1Shax#i=_D%=83~N6*deSbuAIrg%_f2|s*2qnfMPS?SgV7W23Ef#j5_sev=_qM7fEhRfIEL9^RlqS5uAT*8a$ zKmXmo+eI}VsC^!5$KMiO zZTs9%MyETvr8j+UN!4l|Wv1<8W=bG5B=9RKCLu4ua92?XNlT%ktSyP#lEzPMZTmE1 z8SsWQ83Yg8u?p8^_TZCN6p=7_4>$}qxjl-1XFEN^93?BNiaabqqcY-V_-ib zdt@z$M28V#i_^8}$R7FEhnJ);{0@i0rJ%a98YcZ;+$D+ro({>kf-q?8#ze&%mt`R3 z-t^g4OSSJ1Jmo#mCm0$u!SJ^oPWXICbTvk(pHKVYHQ6T`kGFc6CQISZ7#58=q)kuj z(~9nmJ=}SLOg~_dXsbnf2cGI4@Xa8t*In0>-c(A*2(s9eLmz{Uu!7lJi!yA8Sdc_a zp$oneS68Lb00(b5m=PE}$oDZOH{eRqg0Th}?BkF3siw3JI|TV2V7P1z@C4rlxD2WW z+Cesn=`Rty`*RgP_IyMZVi#Bc*tw-W^C-2P=`|=R1;+*|+!{`1AR(#Y*f1zPgag2q zvhNTae-CgLbhFStZFdOJj`YzaEttdh$UyN@FDI?368CcvW$ta{lL*=LeO{L#F@k#( zeo%*=KY$oGX&ov)DIB+mr4ej6RolA8$7vLy;yGT||cX zSGYe2W{H;!szPbLk(a=z8w~VXI9I(mS zM_!3wNgAUKVDp|hJ1`CI7J5Q3UUL=<#U!9mA8Nr81z?2=QN_~91hCxoHij6MmI8zu68V)BlaQBSxT`3Hq+Z|!Lq%EDiaceF^M(V|?YEf18*`7M>f)|9zHamu|+5_j@|D z!3P-3DDk;oNvn|Sjy4l`Ew)X@E$UW9iJ^vEJBT>xp)|G%YnX;@A-X+m^-vHMytOH) z*6c?6_fJVu`v_3;FT+GG4U8x8?l4^G*=J#)%wy@58hO1CV*X5S<_kJ5k`ehB?)jPv zB1M)RZK?5&ZfAFVJ&<>8)v_qP6l&$XzfMedp!0yv14qR%Kp9ZL0;v^Sh=jqfEMvO~ z^Q@*%8gik?96PsLNi>6pbebm`5*7nzAeIw+ie#SiV7w%o#9Z(UQi?e?ss+K;gV}nK zCxygHZE`GY($f&yt2_VN-c=(hiwp<@;a$8IQ0l2OoCs6R1Or)-*CQh=#@)14?2>7h z_U*tQ$eH#$(2Qc-toWs@X%53AxY#1?PNK|qLtwk}*&KO~C$yY&JZ(5BbXVv$^XpNq zg)uMXK%3KG>FCeBv-YaDh_Sf(@7I*1A@v~{GyNvbdiEB$Xhpz|6NnR=`5k?#H zC}rClY((^c_T-DQ?$C6*B8sO|`3dI;Q{sK~;L8F&>rXsjbKl~@@F+38JnL1@ehGxFGkBpcH^Ua?=-kd zix6~5n#};>=6HsV-Hgq7Jcfm=3ES6VbB<*Jng;sCFMcqZy^AFGU^5uJCZC2nuD@-(H(b7EXz!d{@>u`u z(!p0sGIl-Qb$iIH1dt~3D%q5Aw`tKvVbjPmf8DrC7{jOEuE5z;io4+2|nIDg3Zv0hx!7E^hx#f(6 zcEy9Lnf8j?kyUQ8EW+S*p9h^jw}z=!zCsw~L%`#mIh7^7!#U$k2a^UkR z#}cA4Wn2(Y2{MuxV178l_Iriv&Si4#*Br57YxdVa0L<4he-&E|Wbee9`yRUNH{d#Xy5o z+C(SZNjnymMU-mjhD#*K0h?3SU4#Xa-37-sXOFGA6Hprt+_CoD)8_2MCDhS_yShg# zq;H&?hCrOOjmDU<8o=pr84J3c5=CYul&NYO`(;i7Oh>FB_FbgaFe#R@4kzgf9!%&~ zleI(jwDJJDOFyKiJd)W?LW^4k{Q8Z`%W$)cL}42Z;>l9EScU+Bcx@wo48)iT@VB`_ z9v4Re;cLuMaCfotPTme|hX-u59a5Vzjr+CSGcub4k{L#7um|g|>?Gq)w2&c>^ER zUo64!!KE;%pN78p-B|3s+P5~KzhUmdD;5~mey~96fk>wD)Wn6lXRsl4)mid17`o}T zc$($D6I45MdFgWXd0bmoX17EVDq$#ym0=TGY_9KU&#U0@UMC^86e`MUMwC)smy(Pf z#g-W|dx6hNbV?uZk`AOHJ4~MLLR2~v*TC87Cu2}B^H;CJ7~x1L_9J~_hyD;G-#A6| zr7xA_eJ?^F-#_c@0c9~X5VjY5Xf~4n^VE^(z>iwF%HqLZ91kW@+KPvFu*(>%XumlN zj2F;vD+xNivM$RIRU|!S0)ZtJ?CGk$7?xH6Ln6PDViNKa40jcUkkkwGWkp#e1t@p< zK5RLLUX3j2S|!R1nM%QcqF6eRB*-_J2rsDA+YJ}AmHf{SbO$wdfBwrP_ zdIu33F@@Sdc%fc~+ZZGLYx4MCBFcVpX+e%m0=tIB{#@wpaI~m%e=XWn>kAqgOtzX| z59;#8pOB%|pYzdYbeN&(DnZAo-A`dXwFR}2!9*{v8;q#!rK|E1=daY zr0(DfJkaUb0+OvPE`MUhU)|4a+q65E@uy> z@Y-@?!Dhj}njT|)=}(u&t_;XaA!BnX$5Wo5TBB^%4V#c&->fbKSsC}%boJ@WG`Ee8uUrw7vlXAg~0`KFT!>qaQEE?!J)b5dsLBEv)_eLR#a%4!CoQg)Y;j2&&u zLW=LQjLcr(s|JdtgVm5d*W}U)8@$2`*0_&?dz?2@6VL0|r5sQeM6fTaM|zSFpjj zwFMuIrrQ^x+E9P4$wYX;Ktz`{h)o&Yci}>E*^kL&BlvZRt~w`{nZvlZ+xN=&Q1nx? za{lKAl{{Xsz}Eh77H=jU7W@9MkAx4co)XKAKev{g{$GMQgOGv2T{lWh+YYZ_B1c4( zzqvlI8KQFCp~R30V7Y5Gk22Gi#!ML)68M!AlaRM%hf6BZ;dO}b>f7gIM@RX zV)5C)p<7Dkpcs#`%rJqi1fp4vU;)XRML#Atp11@kr(tW1h)<$UVhz7Ngaw;Da#wTc;@#0<;IMiGNO3XlDwf`LcBjg z0!&miHMQb*U9n3=Sv$K+(usf`u9GlpXfrzoI>KUu%W;Cjrr2=YqwipXB$c3|tft?U zGP{(t>~Lc|*E_UIvX)I{I=o&J@d$0PbPyXd5EgyhKsHxQ(J{@_uyEeWynD{cnoH2N(85 zQv+WxvgbbPa0VB=6*$S5*;61kP>RU)ipL#oCh%Hp*~Ty|tpKBjM7cg!V)89(MI|Yy z+wixd5R#TcMOmeB+jflSh;lR~7V%QNUCRNg{p=YsciW2sF^|sTAzezMP7hrF?b$Us|M}>%-w|B# zi0vDR&{l_M{#lev`34gc_gdMT2aS@j(<{!yi!3$V6-y@*z;f3LBxR;8jhQkqB=9RK zCL!ZPeLPOzOkPEo9T0;4ZP`N4i0)Q9C#`_9mg9hpQ!JyTw%kD|Hv zF_wJ&Ev0_tOe}IzOH75dv8d;Ny&U^nFf-$E3QtGrM!2JrGUkrm_Cki3BnHx*KVPTHl+ z#kV4M7ns7RI(Qccg+q1rhm0PNaYW-51*6m4fY|5TA#DS>^##SuWWm?h5~o>~^^)3p zawm0?dO#m934wEMPR(WzZV0xZ0bG0|2}1Odczl5o$Y{n?ZfSoDT72g;#$}vE_(vFZ z%yU@FSM8pOj1O#y(ckwm@8FJ+2h29=(em-FX4LD5`Gt5%ULezQ_0mdUpD#nRZ;u}i z*Pbc;$$re~-ZzP778qOp@Een_F;dS*q?+J-`Pg7wJF*)r*SNKujQ=8dy2P!a1L#Da zv@ACIt7~3T3`_lfCPfn}%4(h?m0WBG!$dA`mu2kCL`h2~mte*RP3dct?&iT}NsU_a z=^4XxzS{C}f*I_zhVzmpJP)t>7=K3`*aV5I&Y73wu|Clse@;9UT{4~2-w?#nGGnV` zKH2FEs+-I2Rl9esVL<3kXwt_Gr zrVI=Ty3}Tr6qAsbV7RL&grucVQP#%e)&#xPOH8RXAZW&IPA!3S5?UrNQe0C>Qt7&^ z6}NR*|EI(8$7;t#}`LG6omDMgRrm8xNYgA${aZG zh5o^jU?|dS-@2?1C8s1c*pa zixphyN1PUzK>$0_HMcMCZ!O03d?DD3B?A(MzdX4b;}Xr1Z1B5d!gs(m*-!o-Wfj=* z8Ybw8_Mr@ibzh;8!V4DynmW8ad!;Ng#D43dx zzyug#5Xl=32EQtJ0+Tl_Yz&bOAr2v_SCj_R$9Am5=KsV5>j-703=FOWltd9W!$h&Hd=m0(j5X!(?DcsYG6Ry7((>(VIoGw6C0(mTnIV&_ zGk*)=pieru3^{W>mj(#YMbJo}T~OlvRmhT%rx281K?AJY1@R$@66bnw4T=0pib=>zXixx_cFJCC%Dd*z!gd6kdzR@k z{I*G0=m+pultpy}&IffV$=Z=EX)!qo-W{wdmJZH#-Lgu&a*2fCBm8Yy5bD{wCFo+9VgT`DO-6i$LX>VEc=p8LfJS$e2O=zb3<%LQMV20*-m1fYql2>pLq2lr8I7> zm%SM2;?~!En&su#)~3bHlXaHHm6XQqQTyCyd4W;eQLGf2KibI*VM_Jas>?D>VHqV3 zLFcgZw%EjQ*HN;5l}CgZ6i;(Ac9m3_TBeACSA&$stqdfoeR)-AU;L4TS3>U6&0TJ} zxaGOJ5QYs2+ZU1sl{a|)!Cm>m4+RE0C8cpY1Ic*?ryd3}$4{1}EheTr8e<)y#FPRS z2`Py(jbT~ZQliY{lMr)qf@zsO&`NlIo3G?dA6N9y^`geg3l)fLDF)9{Q026JoJQ$&+do4=hurE%Lyypw(kdZ1$5_SU?n zEHQ{RzR1a1ei?^1AdF~&C(7}3Zh3!839=uXMbCya20|4_2Oc<+TND`{DFo~Gox7d; z4xQdOQPXVD?SiCyeA}UVz4u(445j`DW^u>{#;m?|sV-Oa+34CA#1;D6 zQ0})9-q3Fr@jNd^I(!vrKW11LXSG9XUh?VE-x^k)2XK2d86uNlQ*7Ns3>K6^MOjn_ zQ0^FihKXF>wq-nFc3VcCQpiYmr)|h;tp~H6YTHUG#ckG4&mD?`KYn{~_u_l6N@nNI z5!us-MpWlzIr@5#Og%bVVf`&?dDqQBJaXN0m*o9#s!QWr_&9p_gYu%$e^lqAPJ_{d zr(UT$PgICoC1J)ZmZgTfTE$4n`I`#E5SrBLud3Y+!$KGq<*+JSPa+tqT%F>wYokQ27!xPPNN!f z8Zy=={VgZV z=dt1BT^hH!d^?3(?}72ANVB%}vV9WE``a4XIaElYIb=shEq2xopovNb@V>CSMdxeC ztPZ#PTjKH|j$~l$jA+z+&h6#$miVA3dimOM|iH>u)1Gm4leYT1L0pLr#SZgYXae zwGyO#P#U)oz5`q40kdV2x&>`sZiVZzoDjhHK-neYxp8!n06h6{I?nonj#Cu*aH_Ao zzdclEca313uLYQhK+0mQ5UhgThRAuKG;Te-CkM*F%=*b;>QPuZU5z&<1WWtdUdd@h z{c9Q1i_py;97$e}`CFO2dRYdpf2QD@k?4f)jh4#$TL{+sFjU|gnEIK4Qc-{7`Er%~ zY#%Z%&$2vtdc-XbX;vP6L2I@Nhcq%Av?(@Wdm1K4QVA-`YM$?uGP{%zVOiR;gO*Ko zl_=3^+H)2T zeC&V;KX_x1r0=!k`UFGHd@%O~a`8*wYHvF0@1hGVJhFVtwR4i(mjqlXo~cQ*z3ik^ zh+Cz~w!vMp3{hPrtyWNC$ON$5We|p?RltzQucVlSyadBtMIj_Dg^IE^7PkeiDm8`# z`7K1Z0}OY$CRd4DbfCD6KoM;v?|HtFJ8v>Gc6Of(42;JH-$U2^4?Y_tm&%BFIKU^O z7+b#aEgoC=;KPBzdqnYW$Hs`U2$jK4J$vx|GlvaywGGZ3&9=&X!iZ8t+u*Ls8=|^O zGT{jVOR5aQq}?5HelSG)l@ybZ_oN5DjHiXtI=jahjkR)9AS}76{vxWa>LsKS!$#l*0A6Kc2s#_ z^9qk~nI2<(C#kyTSfj-HqK3|AGtJ_Kd~{c-wsP9h@y{^cEH*sR%hO>f3R%fQD2)l8 z9q$6FDkNqC;m`Gp2Ao{dYfT=nLT4@_k9Zr)Ds?2=sQ&~P-Ck0=U3PYa;RaRoNzF~y z&Y-_F*c|(O^VUhQhy8H1qYZ(0iDSl0nmYngM$^fiN>8bF_Q%8n)77=K?w3{PSf|`% z2Y}A49Be*GvgFDkBF3D7L1rIg&52ZWnpYs>2X*L`6|CB|1L-)#T9F2e2hi&t6?oZe zMQb$-8$4*h;|KVLAoazfV9}U)wZP(;{^yeB=9RKCLu4ua92?X zNxguzr&VQDkK1A&vWN4-G|+9IW@-tfA%8D|9~)b28c2LT)KT0zif~)EDeF8eT?DJn zp&%YQXEjO=NVIb0OGWRw6yGYnf7X)J3pS83Q^(t5`i3L9|4m8QxGOaBa;w7ckca zu-si=f1X@s=a=H-);@dj;@^aIy}#1@t<-OM5})L?7g*){7(+WEiCz?A=reV5?-i0LD)FzY1$_z-BSIFy277yMT^1nnwvv5W@jh+LQuT;w*~+ z8G5y_5M|ou!tU@64NIaThvSgC2Wv0+YGB=keskDsdiA56+>=JXXek zlz4lT{9rdugxj++Y+{RzmjbSLP-bwLr-YU3n=7lHk=2YS%|%$2w(M}0xvr~KqD0fO zO3+n>u3CcKP(+@|P5X%U0DDm-zNKXP^5n@(+AG?E)Hy_DOQa<*NqD zA8l;l3pzm^-$P%#GWkjK>p@*!$Hy!$4sG9$LUanbt2S&4Tl|YP6UP%!w-XT7o9`GI z$9W|mR6E=IBoyO})bo%vb5mN($g+y}HigkBR?`|R`^L0v1s7Q`;?t%9NG-Zo_OKBb znayFO+rNU`(ZF$z8+$aiKUH`~D+Iyz)-@*z62V#o2RvxP2Sq08kr-V@4r{^Z@8tJI zN+H5BglU8?XqRM2R{1?CImC|r?37naMeGoqydJO{GTn_G+Z?6gg;m^m9ReRPUk8&W zEejR$`d`NpFis2RAo|-dl2J`5eEU$Y>6ZaR62z~Vwq3o)X>Xn!r4XA^l8OXFeH95i z$ZSXY#7WZ4ClqX_L58RBV6C&hNg86B#xesNDO{l8X)`1^9-p%1CgHX)pA!b5QaEK= zC(-R#)P$}>t6VO*R(Y^J%|OfQyQ$;9OsEgv+mOAqT@Bld^yZtP=bttEJ5ZZG4 z+L4#ri<)*BOL7=I3|Td%GX_(M5>pD1)Rjaq zSPTjo-{-B* zG@oF5OKn*QZLj(hL^`g|k2l=nF#x^baNg=L$o+_w*(BO&=Kq@EH>BDj0k0heltvvq|{%0bP7b{6=abF zpxkvS$?$etM!&b1%3VNVkX|$Rb>??TM_uy~sD4~3oX5n>VLU@a+080+znXS(;16Va z=Bu%+OzaNAYaW}uv;LW&PI50;U~4)%OM~gr85Ah(;cH7-=!W%OHEFjO2>cL4H6=yU z;2y6SqM)JM=WXd^0$A?opDu)9X&G1$7;O1-(+W(1Wi552Ac^6x-_kY-NxeYf6=l)w z0Oc;DzM**3=KrNlpwX3y(G-S^;nFuc!V3Y6l%`1M#I+b)Z`U~fSnJ2_9J$_oU zFg869eNcB@2y#DI2)F>QnTB2Nr6-(lo@%*+ytxJ|F{LnK`jkYu2G|-S5izuUZ>6_wrZC|(_1BKYvfp*a6RBbHV-Th^DCuo>Xu&x)g8|j283!mtSj2ji3zC*OsNmim-r;H7x zwUjn6+G}GePj!NsGE#dSp3BrSqr})!lA|Ed4DV#7q^E!^z0FkW+f+t-eyc}hPgccr zmUIQB!ChGuF5|i_z@N4&e*Cs$IpX!hf**Zcu;^4yIOsMB z@lY{t%PX?%W~*)cxM(F9b4?}2-qn;7V0M?c_mW2Y)L*C}dH{rJPtJ2HGZvdmEVeQ% zXhZp-&>}4ltQO_Y@Ai4%J0+iw`32M69d5*u3g3a^Ofn*Sbkc*ikIMhq>TKok!$Oqa2k$g*J0h!h$-N8-(2xD%1dO+DpFuU zw%BHidP^#h^s*dPzASEc^^QM#v=#S#afR>cMA|8i-E21)bGeb(#Zw|#9Sy{O2G@v> z*+?4GyNrCBar;DW3%`U<^60ua+bz|b+uo@3s&>foxGk4aiNcVI#{iqqViP)yXA{MS z?xESEkfijwj2snZH6upJ(xoJ0htINq%5C`d!d=$A?MMvOWGq8HGYE`7UG;7J__~8ZG%8+D~*jdU=ZYIlw_kcTe8x!OrBi#NNx&GU1P#9rZ{LW0rkm2V1$Uj5l5(zor>hc5od6{$UWy3AaG* z7V^v&`|->Jq60$_W8r&-XOuR8N~e$Uz_2^e=t|p)EEE+&yi8QaV9Qd&U8i*Eke)Ju zz>=yHF-vI^Ed5e$GprY44CItpzY;@Pxg(65AzO)&z`O zc`Kcp2jSI&$)cVrGr`XWW_<52%BX#YjB9-g%|09_Q*NA)e`v66^-eQ&F@7z!w%eNt zgR%k$wE(fb#mjB+$84O?)>t87D$pEL64z_3AI#Yl`rA!IerLF@gK^s@eA8z>45Qe% zpnI4!lcMwPpy-?Z@yp|yufJtiCbq%-M!}RZXc@uL;Y;Jz)$QnXY?!-!;BT07yBJEx zwnqHUXt9Xg?bH_cE-aZ{- z3ZPMV6csRRb@3K+DGU* ztXty^8_MZ(kxYjVr9;AjL8<+8qKh1vd&aj6Rd(204%0q~H6OAP?tyjR=cdwQvXZE+ zBU&vJl>}XLj%DOWjV~Q1ytLBW>GJI;olgK;pQIN_`4U8G{k*!UW9}AFt?8wPG~U)P ziElmuF(1iXJOM87YzXEzM7{OI59UVedf`i?vGqz%I*FxmTWNfoIqkahvX+l;5wq{^ z%W$uI(Z)ko!^Kk`8aQxk5+wh9ZA_CD>9g|(B>XPgvbeuZmaYn214oc%)+Lsvj`#A~ z((!F+4Jr}1H>0H80MBxkOl%0AkUp9j}`ubb6 zLG3>b1_D=d{cUXNq*k*qUF>6G%Rotx?{h;`v2-#4EO#w?l$n;a_|xnD$xUIdWZaO$ zucVlSyadBtH6hlLwhYQ;*%k>zDaEyFoo`1bZWmTsOj6~#tXMk47>a7yW07u8hn7x7 zVm@KK$j6s;xwgy5*vzEdJ>NeVEfM{(S$Nk5_B58?qNezv2?l;V7beUTPYtWNMOKSqNJFFye?o|;$+=b=x;ZB zbyI#_MyFk!K38rt4s13tCJ^3$SB>9sI$pc~c{qF#&E5D`xZ)k(LRj?hm}X>FDA*KJ z1-X6_(?*wGm!(19rr%tm?c7T2)*U33NRJVbn+451A2karjc@afO&;|L1`hqL;v~)v zaUIxKwZJgZL|=yfNvG11hko_cTLOQ7TPJI)bu2R{2^=bM8pa979=QDJgo3z2f9nkH z4z5adSS=slS_s13+)m)x)15+Fg$U${YmixBffd;u^v&LgWrzOpEoku-ohE22vDiu! z|IQbqjtQo`zuhV`l!EAd!-RKi<0wc~2#oAfS;;yH$hppf<2L2hV3RjJ`J&+h%d0^{ zp*@zROr>!<1<6h66rz;HTAEfzsLVIjwv|xrO6h#UT54a6Dp)EBo3d=n-~>vP!OFKN zqz02@;p+>al(gEbLkZAc&iO2K|tl7i5nm3;l$UTlgJm^=&H5p2T2;bqv`BrNm-`76qzIzmQC z){bmRizyZ1+ryf!Ds;&LW4mr$h$B9cZ5#wU!j?52SzRP;0Y!R zx~%DvRiO%y1!^gb%6C!x=R{>@;V{nI8loC3m=Uje~qN{nR zmi>cbE05crx_M+3*a0ou4ck3z2ukDDJ#yPqO**{-l*g?TvX~LY+u@cTB9*f?j0 zp)_E?QD~cjia!$I)f{7TNsh+vM|zzd+{vhc8U#_UkTA z9?7lW;={auy29pak6mN@_HDs|=oOHEL8CyntH`O9S+T=Z3xRy!@BbmOQATkgn*}^DejHNSjRUdB&qbIYBpw7s>mJ$1bKRz)( z^eg7_@#G0}?(sLyuPlGzg%fk~KYjY-p7MIx^3PwNSV?8|+3d1)pUTm^+xpdgw+q+AOx;n?Ij6i+6v(b`R$xrzcMlWxfjgh^81W==gaa7z4mqT z%35~3HD>uelS}b#5hHO1gHu2=hEP1`1Xe4ApgcvuLMmX8G#1ShAgCBgi$Hg9LUIPA zMN!@MbwD3)Rb-mpH0IANmgaruo}QU6lW(+rSqj@;nOV-RCkXIYso;GDP?kf{_*_E}hP{vz){?}0p+%@+9zhk(zBPH{M zw#EZ{hGnHVmCY04x_YZV-Yz`|%aJcv6mmtfgka_S!LG}DZ|CQ~MVd(dZX5f7b94^# z+fR?1sNd^X6)vX!^W82dp5P82r)9jkLu*0Z9(RB{I8pb6QRo=Q<@DDbtnpP8he)@^ zi)#JCvb_IRz^A|D5Xc?)|1z;%P2^Cq*Ja@SUiq2xyHoSY&n>=G8E-F{M~)qiElU_MVhtLAzSFa{r%7WxC;uH!-DwAo7Vf zC-O7o5EWv&WPj*6^PKX1HO5#-uc-H>=KxOce@WeL=?!hEe+cSY-OBrm{O!A&zqVvO z!I*D4@5*)q9v3Fb=Xs6!5-B@=Y(D4jS@4|?vYh;j*U~gU-Y(1!%qC>c9-Ug{Ey zjYM17WMEjmoWeLkuM-Z6ulYi(dcb91w~PdSWIQWbPgtbU#WcabxNJAgmVA$>d`a!k zNEremFmgj0Pp02AW4%Q$h@(z+sD4!Y+osj#30Hq~c|w*DaSAAy|m|0S1V$i%Kv!t?eSRyTtq@w}DNK?rVOE_dh~}+7c+HIEf6EBVTU@Kpj?br`VWwYyGJPk%SH3vs zlmDYB(aVn>llkn6^XmNx>Gy+OS^n;%{dr#hJ5Z>XW}diJK=4JO)?0SkSebM%P?1U@ zi(SjC&#_*&sV@a+y|_WJ(2UZX17iTH%ds;ub=H7FoyT6fyHUC!pKVFg-M3zvn?GeH zkKaCb&+zw{@A{Ts#>Zp|X!6nNw>8D8>VzgWTYDX&;6~cW!2T;~!=bLH)Ky_E@5Tq; zLNYSln#%gEWM%TSe1Gu1zfHz_HXdwb;Gw#hkL6ZI>xiW~Pg?1)=GcnJqw+R}D>sZ` z-1+|G?A0xq_{sST@=i#PEWl|hTO>>C?~H@X_H0x7m4e;H)%WW>iap2{OO3)Z%?rm+ zX|2i`|78KpOqTM0VP$u``uLq3=|t>hie?sGdOYZyfs(#11@&0lTm?f8Mphz`MOhmb zt}vw3uE_AZyzxaMbiR54LZ;)h^M-|~5F2CbC%cuTPentK8pmA@{U9DwTE-f8+QvIY z8^QW!;2Tz-OLFSg9T@Z8SDRb>F1c{t`Sh*HM_#jd%Kl$we*3Oj;H6uQX6Aj>I~cv3 z^+)4Dl>x%uJyBSk;EIedN`RZCxr{S~SoOV+frs&gaNu-r;l%lo_+9Ce?ypb-bnDRN zKjGM!wK#ERahxR11E@D3mrKv_bE)z;WTG9H|BNCX&CK5ECXXmz^P!cnV*!LDl^dv19u5cIcl|rlzU3HmBU78jgspc6zKsS?xyD5G& zs$d+Q;Mb+(&AT-)zMdRd?h;HRSon}9%n$ZSb#C_Q!f0DEF!c+`+{_29PV95n{T)RkKwY*LpUs&uP}kNoGJBqLPY3F=!}Y!Q~2jwXY7FyE+quF%m0U z^X0wOi)mAN*RDp@n1q7QP`vtyGAb2Ix2_><$3MvNR=-Vc7xYTomV*p=Araj8hD+^2 zs2Y9Wyzjr1_l^I#b7t}4Ir%lRZck({)dBrReLcvS66 zK}12P4h{(z1JFku)eY+QrdNWpLapzB+qgPKm7pFs3ywmQ`1S4U_Dk`)!v`nky+3;K zT~(CJ-<0p=E9l$VJKz5L>Lr|sU|9<{q)tf85z3}^xO$VXFCd0g2{DA?IVZ59>0of6 zBxJD@f2!+BZpf)bTv!$ z#D6mrzPn7~S*uarcjH;-Z%}(cyP{~2r#75m4(D?Q@4~w7bXPa;meoN<%xwIcASgN3fH>u zkL3hWE(ixIP0Z}|5UR1RS;^Bo1nW|jjy1ywW1Zvo)&|j*Ch>y7jpv-eg4uKr3e(DF zTx^UJkA{;h2{=KZjxC2370H74WuE#i2%+WZD96n5T#2NGj?=7~Ih6=Ij>@h526e1i%bu*r+PQgkVU6>?W z!YnvBA~UTPrWitLBYsgqL@Ys8BReyX6NKt?99f*m*jl}961`M2!u~peWR@o8JVPMv zFoBgsuarcD_XA2Q z-4%&6x-bb4QJ2BU5(zTaco|Y@Bh9*9nS*?etT5jIfla?|J&IPLO~$%_z{!$8Fa)9w zFA1zPI+TPgcCLFECmsza8W9BYM$#hCt;|&i5A4pS9-ej(vGkl;CM%J;ze{c6dq<;$ zTG^KB8{M`rqyCT?xQkx~=}FNi_y!i0RBc1P_|VGNEM`#)#`R?>!b1C8P9;55;npJy1l{cayZ3@zarGC z%j(K%US8TTUIF?c@j09})g$&AP24z69L9Z42zP9zj`E{;G6RuriZsR43x1cRpr9&a z&01xn|8_@pWf7dJK3f)ZIDYYwx%5fQFz_s|e<84Wv@q^tYq6Newgbrk_4h-q+iARZ zG>h{OHtQ&I{OqhBFbvOLn8_E625R9f9HZojta5r zF@ynyVT*7O)lGZ$*b+(_rO`!`pdB^KFGqU4*@WLYMHR4YK?wFbT2X(Y$WJy=BFFfB zUXrcyD|kbpW5oMw{?$*I6BtO1w}Q-oh-Zbsil+k{C<$5Y;<16F5kVktBrO76*iK6@ zBwFF2L8T532^IsdWhCg2blsLM7NpU|G{Jt;<%R1H^Gc+onoV`xE*TIoa#gl#f@NE^ zCQH2Y7tz<=kHkpmu~E0Oe!HOhdzkg|gxeM~dA|yY8a>X$26i+6sh!6zV z-ZX;`(*d*XOHa^Zc8tLz^?s{ zS6{1sSvWJ^21;YYX=gyKnb}cqm(=mLZ*e8=;J%ulCEIe^ZW?MWw6#Q!XaD+>%rrON zQcHe387>3<&8saFwsn>|u~Sa5Hrj(LpqeH6T8bPzX_oljj|{b+-CgyOTDJlT;cFS_ zB*cUn5ZD5)53kAPX>g7MZipPW{YQGr7(5g25HvdWRnZ(C(c zSwGuMe(fJK)e2r}ylso0-7|vg7`BjLD_dfLL)K(k$%JH-im?2{G6Ms^goCKA<{1>z zWY^zY5TJ_|K{H@f#&$jq=Uh!E5PCyS7;bMI2sWI(jg>MS($U-T|k^p_J0S51c!m8c&c1c zK*&)VU9$0)+yBt)|L+-fiX zlOH~JBRAeMRGZ#3v6jwkI|THC&p8e^%{Fpeu`@f8$5RGB6+#0mF0ukA{M(2=rMl9{ z?D zsKe~k^Z%d7l?_2RjgF2Ive>!qVVw9L#7UN3%EiV|^;l6q9QX;i_&t&5Wn;Xj_;s?~ zm(AcOES{0gi=Z)2qF;ywbD+RT=ttP%lvWVA{o6J|Xkm$>kFCYHpM&(gXC{d}g;>tv z%A5yC9Xvl({7UCI1?k&QCIZDpj3 z@=+JzwyZi&IBv@qpPj^Kp1$>2i?O69J@tmqeS7x(w{oA}D{T?mUj1$w$Gv8c#97;F z-Db5jOto$^y27e&)(N5CI+@Pk`LhWt3AGN*2U2(pm+Lu&dmc(nVbtiBYb{cy|7Wwf|X;`3DBc9xV;Ogx)jhWM;LKkfYLhM

    U}>3Mh>1d7Zxl3 z>gO`|jMsA5r_#cYN+eNH-o&%!GDZEWgRdN}j0F8<&3AvrWBK)jYrIZh9el&#u*LU6 zGv}@Dag0h=oXeB^4mU%h(HV`dZehhm^6-bsMJ)ZP%d`QbR*s_UU<6P>bz9)gr9tcVpMRD$KP`1Tz8|chD;`LZ-gRs9>6mw^ z+v_zJ;Xf`@i-Rbw?nK}HtT}?`>G2k~;C+CnT$n}isHBI{zjBTFfneRUz{1!tX`KEiR$fRT-bRP$r+pXKMbt2uTe=LEnsIzkY#*daDNVz6v$G@NKe z5Xc)zi$E7d*cF06RHZ_!o^{*)=1$ca2uQLYBOC;tNn4zO{wS?m-*Hy3?uACezAYJV z1?zY!4j(lyTK`LvK_uRY`E%cXF8g^035;c1S6T~;z-qMwcwON1OJHQL%OCv^3Qq(3hMUSW{kYquo~RZ zilURDUk0m!x~&F$Xj$1vHkPd-s{g0{{qWaJX1|`D-=;Lj_T6S6{<+9f8(brzR#)>UZ)jv{T1rmz3m=N9AoZ6hHM+hO%-m-rlU)!L_Sk;H=e zt?i%efBLi23BC~L!Ck+l{>eE*nv6N6r`CyYjUCvc^@Nz_y3MW+n@0un+opK8zcX-lNxm4|OTf!~O%2qu<_;nR@G@&(f=K_08g;>=cv!1}Uj0Aqbv#S;c z@MzQW42>Esn&{IOx~LvOJ?1hM)osfg+QcF_>^>K1ydNcX`&5l(TMoPFbIf7GP%(e? z(W^5UNRPKSZ$r*|)50RKS}n1hTSx^ALTd>Tgz`qxBG3g9c7-4iRjCjQ{UOBI#dGKq zlewaxtWp|XRLK|{55J^ts|8ht2WcaywHIm;OE6bbw<1W+ubG89(t#IUKoi-5f@W~c zM?Qy+F~3c}AM6V&!MMW&h%`Esge-ROC%kOI(TE_BHi!P``|_~jOydD8T&!B{)YP*F*| zUE61cAJfvj(Z96M*|+5ije{R z?+c9^S=fN=!+%4EO#{bLwRv6YXuet;Me{0b#DlS(JZn=9ScNy=^ zfwN-Ry{6yU--3d~e11h;x`UjO@?ZZhhQeFIhMiLT8)^^mX+c+?ZuHx9kyaGk2t|`^ zh}NH9Xtivdtj61o#USV*NE-4&YL-azchP)a8l;ZjSW2^}hr4xcGsW6Ba9*IaDbS z5wzkL&w}8g7Z5|*c^SlL1b;p-zxNCewvqgV-%5(AxO@EyRX zNi%JpfUlkIOZAnNRKK6(WEot&SdkMsnN%X2lj+UFHzV(nB(?*jqVaEce(UD6+DrRF zX;#f4!*LlKZ?0Q8%LUV_5?cuDxo!rHZHu&=s%odF_>P_xCAdgv!*G$))hpQ7HZ*sB z+W)HFxhoGDV81U~Doc`o|722}z^OXFI>OmbXuT}#;Rr_sb#@H7JQbki0Y>id85pvY zR==BTgCN3|K%}Ul%(w)&ZK=wu1^`ZT&Q z3F>jP;N)m2OiWf;wv3TbgrJb26X6l~Nxz~DhKfq^4hDu0vT}rj78V697>ZgU$hn17 zz#z1i5J9MAHjWh)aR$18IGyBw6l2sHLnSC6X^S(gaC~CIy1ffF&{QIk2+UfjAyq21W!GV&H#m@P|IPqvW$&!E*1oB4GBGBDAMII=2ZbJZ33T0G{C}pCZrH)q`wzHz9 zU?;1}(ByjYwH5DPpyf&u+el*uf(#r7En~x&fm6$X?P-a6>OJIYnBSf%VUm?2$}tt5 z77Q!;HM@0V)G${%@;a{;SefmJl*~ja(tK)7t#q84)k8B>KPc?WEjyIq>7D}{Dy{>? zEgMcw(Rp&~1_Rh+_joPXg@G4-_M-aC`FdD9+NJZe)koZS3E2s2SK}?gD!`C>D_dfL zqsSiaZiQHNPctm~Rg|c1#xvC^SV^M`lVpp#3`UkneyFUq$R!O4EC$E>fs-8B#t&Bo zpJ}fYqj};Srsv68MJ4FHX<-rMS}o|(R+MsXWlNL^I61PyBnaeDY5W$a4&ic*~^s#_a%S;Z!iX!R%>;;F3OH;xr3h+LB`OIG9v67UOovaWXVz&L@Dki{-w5L!!!Ae1+f7J=@APs{_xxQUIf z*bU8X8BTrEDidBw;Yu56&lz}HvwzoW68-tqSG69slIqsH(6_oyH0)}eOIjWor;`ER z+Dv-HVzqX94(8R*?kbL_-P&5QH?(4TWbZHoyqbq~K%{cn>dga1;!RMiYs}n4QWxzZ zvFBHMdwBfdsr;_|0!NN6a@QN9^-037Ddp(Nsa5XE1gycl?}(G&1SzZWpyz%-sZXmxSI}F&yWm`TDKy?kn`TOun4SHOHiI7 zU?CMS2(2YV5Xu`#i$M46lQ!PUw*{bsdQrTn)}vV2@AGnK`}!quN*QlMt!xX5s3N=e zFMi|%$N&4xfL^z~9&W0TJ`(SE-&$6;k?h#(X7Q=H@s=Y>g-vSR_RmM52cwvtfu&xz zn1c19>erclkwy+&-Qtedg%&qiKH1e_yyXt)vxuXuCffmQ6x}8Rx$iN+sFkCOy=Mug zXnq@yD__ZTdwq7@!1LsK0#~>cN6)wBvH@N-u}ncMQ;e~Frx_r9yzOm|)p1uD#1z-Oi2vo#bi%N6t<%zysE;DR?e(l~n~}OGElxV{X5c z9d9cn#=d2tYR>P}c|u~IOL7A{H@MOBDZcL)PBz9!=qS~BamMdNK6T}%_~$6da! z3|%t6^5qvFL(qHE3ZB4fwYXkj zaG)e)u?rZ4))FEJ<&C68pbH}G3PB*MQX$q(>bC0rTuak;A{9)aHg&ILJX6Qpt74&^gw7=d3#_Sl zwy2-f@g|eSX82sq;M8@ndxC9cYlh&lX3g;DB4Xjkltuhc@%!FdttX_$TawH{Q2gRZ z@Zvf;gI1BWKhdhr6L==-Q&A75=Hl?unXS-UJ-CiibVamnc8vyZj!54A%MhqT$YI4r zR_KI(X*XJ`D~-&a-&BmXljkx*2W07`T!KWCpdAe#j69u8*WK016JoQ_jn@Y_S>p4G zUr~oSi|Lt9m`X72FhMMh4kaOrUC-EF%EiV2j(g_JK>~CFFY$XK&&$SGlYX6S_hmD9 z7=K1KFM`HAiGCp#%z*+Up&wz3Q(8gf_To(|gcg=4`q)~G`#DI@6W~hFd6U6viFkn2 z!ShqauXK)6kiPxoQZDBOAqY~YOuU`c!7`ikR-WQfrhvCPa&2KHnlAff_Z{e4OoWhGhG)qh2s#yN9q7rr!7nZYxE`fz~ z1rtZLZh0bW{G!RSh3GO%i!-bUtK+S?urMMRO!cfGv^E@WKbG40{Pt6L$9r=9_A=pl zvE}gULDHgCD4k=qZm+R-I1;=tbLeguXvF5xRyl@#mM*6{!$Pf~8fqy>pIK7tc8kdL zy6p*WZ(csxV!X{;RqHmdK5|bb181{tB{f66US`I+J(a@S(#Tn>TijnUx0p=xua{MF zy>j!g)GZ-9>FG*qB2|>gDAqAlTUhnV14kJat!}Cl&T1KKpqY}o4a{0-0$qr(i@K~o zmx*S2>TCh*LSPiD_rtOw?e#K(y7<-k`xp!AR=kOHhD9r37flC)Q{^172sL7Bpn;)a|Vfb=&A$Ys?jGekW9KIq zxQ*4fX|zWO+>-Z$MO4S80Wy<x3DrwmrhEtdIt)TWic+?fYuPM-f}iB5~z0PV$!^ zMq|6(dcqP`MjAc?THU_K_V$6)x}E0hC}kPnw)luPzfCEt?GME~A%EjhbF_Z}t#0u? zjhN7SV{Cs zNdzpU>O5_P4~|9zfxMBl2y{V&T_FfWRVu{VW8Gdy3`n~WVHb6I5xQexBDZAk^}U#bJExy(-<>VYZM$B;Jpbx@Bmc^}0n24;R_8aM7_l zAY7le-iqN+q!FsG^$2Hd8Z&Ts8OYYF?dmTE>yge>1~?c*vn8?9*iQPR#Yf*7kGkS! z(Yk_-@(+3jP9#8n(1Sds_|C+omwUZT%hO`@74>bg9o;Bx8+CVQygR56Dcj}CP=0JV zm<(jQu8WtpgRq6wV4BhaV0WTBx^8#pP#+|MQoXKXEKZPMzpjEzlWdg;{$~lZ#X_ul zV#&a383Dth)%g*8CE@CJTT}xiIB9fYLLlxk7+GRNkHqs7gunoDeq76r39>$4B!%3EMv8{~|Frt1q@U#R)8K zmGI-;QELp<7FJ!i$5DnwtE<~g4k41IBjE;SEmUe%lEYcdUkvegIP9Pd6tjA;i-B}KG(PbdoKP8~4gzqe8kcQtQ-e>4E*S%93cUWPmz zSBLBfUfofZU`47;yspDGV|}(7#_z2gc6c;+?JK_S;TENMbeL?rIo=hxX)Bo>_AoV# z&WlFeriMGV?jZf2)2xw`%z(e6B_&RoL0j0<%8UDAt4?h(CaKsvyc&DOzeQ|od%F&z z$Jn-ZW{4xXka}KAbMv_35XJcMV(*q`8w1`|+fM4HzcaguP4Y?b0l}but!#+}j^cO= zvFdVSSVXrH4x+j(&n}@bSUjB;*FqXyph&jBENdkTrHX2Av=A7=-j7OC*%A1g>EQaR zu$zqadYl4Vu^D^sO$&>_YPDb}YDFpMR<=Z$fTIyXAa5is0$mVcR|o=8m2@oYL^pxfPHMAd!*r`h3FvAgUE zf`WC$W!Sow)>xs|tzG9Bh#CQTmk(RN+46lIg!1xCZ zk2?wIvFiS`I@b4A7a2lg$e+Wl=et9=J!1CAK(s%CMl$At2EO{%=Co7*u(nb-R@*dq zU(TYMWJ8<#LZtO}cu(B(*qx5?kT`cYow2;x5M) zS5HUpV`#&*4G{Wp&gPJl*K(2pG~Y>S=p*x0o6P%9PH3|&IFDsE*0xqGA49~tUF$WD zlsCGor5Hzb26Cy)YgP#5@i~J#{a@f=nLUCSfmFO(J-uf?^DI?j5KR(FsEOWwFRw5OstuQEZ5EsyE#-<6Z}>KZ znnt3jTrJ!kiKv>%6A>cWntquJs8@G|HymE)iA4ZHc{-PMn4p|Quc8zI3n}LhM?i^5Dwr#hEK09S|Lx*#4`0kF^!LdMv^kuLnJO;fi z*(|q=85jw?F2hMnQJPiA@d))?tx)X&7CVJRxG_wo_PM&kj zt#}hN-0gH`hI}DJ58L&hF8LrxRP$6qBzPPUV%&jcr;=Zk>NxNfeRRXB=6ecIFmCq* zil}j&!U*fkG}+yHT93E1EoyjCPVR3$P%JOJz^Wahu$QdU@&~Q~|I2R~ym}~iSJDb( zC-pWdcVcDL?ZqXH9^Z+Fnw2y^?Ao+!nnQ-b1Q>E=Al3=BYj(6;_g}9&tig1kBAZcZr zdb4tpB{EZd5%Kz%+=ki%Kv!t?`LSt8;3t0*4=1IUS3oaD%gLnH#zq+d}6=P*5QLYWszmIS#p zIzkY#*iB^%!v{wrf6da6PyrVj$vuFavj%Bu0 z3C6QRz(}J*NyuV{yG=8ELuf4_f>7Q_S_HZv!mbbmqAC?))uR&wFPcMkiCNX{AD)~0 zmP+YY-b}`PG}bkZHhk(jK>q@1tkN$32**vAZ;9EY5Vet$78Z=)i%J$v z5o1cmTLNg)FNcNpfUzzh(+EC#DB28&AS)KFgx#juH9C}pEOxGYSk9|2VIj1Z5J4z! zBrO8nvqbX#+d6Bt*T-*VYqarpn{NwH=Lvn&r;oR#$nA_k%SMZ}(O3#S$_(dcSZr0szUm7i3A zpY00_a0UBgkQwQ;GGHfW<7>JfzyCMo{R!&)cI6;BKf9E%K2NX?w%h+-P-;u&3BB*T zdzvS7OTaFq2_Q%ly41>MaF+@f=87{}h*eh*!y+Dw2?tT#%CjZdP0vo``xCfeJz)WK zCa4QKHKV*HZH^I!Z?xNay?(o~$Q2EN#S;9eJ|=$cM6Fxf_Uk&-UGO+g8?g#D##;u2 zc+)mw(Ms5*(V-+{v2*?~PCOb;G$IJ(jig1O3nJ_aK_IG9Ay&7#CHro9tBFh1T{!Xb zzyDO(I+)LC;F+KhpaMp<=2}>{h5^wuGEsiN>_bXz$#|Rg3&x@j*M2D2sM{9+(R6ry z(Gpm(bgZKk0ShVD8H^K;h7*kl0(m285$K*Bnwzh>vG%rFSy=wOp=Fo#gtdOjku~c4 zHU)!D%#k|3%_%E9E~r}tVr1_$100~qD-pxht+}I*x1mdckFkcIQECh0ZBL?yW`5f< zLBpz51L~%UTe!8fX{xV-wH3OYeOM{4Ck=IhTgwhP-p&=LF;93fh!KXLpX8<;l_aFo z^hRM7VOihlv3b%=ra$tI7y+~L>jNv%RST~tS7h{cu!~m(16Kj#U+vk{Pp)K|C5*u3 zl@WEGa8J}Nlp7(y2*Y6*S-23|A|F(0>sgwFet9f$ckUwb(?s~OnsO@GA7dn;;czSX zCGym2i9D}EVj^4f5!dAyygZS-Q;Q)2KL`hDthfktx~Z--GJAegCpQF%ffo1AC3lq| z>B@GxA7{};%vpLVhc21~?P%t}$kWMm-Cg+*7(gwNEHa;0{E9kU8M>2J;D13AViP zB}kA+qeDr^V&}StapKW%lBJh&u`yIVR@4s%egZCjPvm*o_?+xsC)<754BnLTjBH*6 zjd>FNLM)gA1x7+Y!j_d1vaQkEHbQ7&iL#Ha#kjA*R(#1#C0GzbOGE{KeyaGD&asM( zfLq?QurldzN@5{XCaV2qHs{Vr#t+p(AAyom>VuooQJ>3>Qkr_Z`doIbV?-rRlzaKJ z7Gp_IQm{W}dVJuzrz>%_EnI&sLamP@zz|pmy2x`f@z6N#oS!4+sgz&JmXmjQ>cL@ zIq=VA>nBVLDP%>h+iSe~aK7XmMmB;ETUt3sM}Zptz-*&TR{YHVay;klJVm>u7OBl= zOzeh*THFCGkgE;S>vlt-%c)+sUC8&zgrD$oha8JyZ^Mt%h zXR&Sz#@l?*BX`Fckhib22X1>u7A@6z!WLc}Ufs465DCpbJatP5e`C55PB>R05LKxV z3)3bc#t!{KIEd=5X0=zQ3;$J#4B6S!L`8KQpx=Tz=7K_mUEFL*-EL0I<5(MS89Pzt zpm?44DD79uVHU>JDA;(t41$TA6Iju7FgQr1ki`xO48sRUBZ5HQNLmECAi}N?1fnVx zVs)+Cx#X#tD!TC>nnlt36Y9D>4x^MVB*YWA{J1pEJJhXBltI(swMa?b#{Eh>7Bvd! zp{ZN++ZmwC=0)#i)q8yZZFlGWpUdizgFI+>P*S&{RzXWi-4?*aL{3WTHl{eX4U&O+ zbZ%kuWLYv#z+l>o3hK54_G)CW9MS96b^zXpO`j+5hQ@e0Oa}0|NRnIO#s_+<#yvlm z-OWeLP4@akuiK_x$78*2t7%ajbs29STYG0JTDn-&Z3s#`6?lRcj&MkCM6#{9>Pul*4z$pQ6_tSP;U_5Zzol7;JsH3{oRdD0JytUW)UMb1wTlBiaxh6Wb zN=i(VY_0IIPF$_0m(*=!;XN7x!;1J}N&b^ww{{_d*7-HJqUD3faoUI}m?tnGnps(o zGXz!|9ZEtLJC`TMiATeUMg)Ppk+cYO&l257pc`a2&h=B2ZJy8_rG(LTMe8Hi68%qT zEs$m1&nW)G(@1A1)MjTg>T7wJ&fERD_1ykUpRVOS=D1^^fv3zJ5ju(A>>_RRqx2G3 zC)f>4wg^A(Y!SpLl+6Iw6DkNTswq(=j#k+SuSjTgMc$`8{J_l4jce|p^&bv%t3^AB z%!)LXg87FAaaQ;}vU9qjVQ8qT^Rl^Py=nB&0^i4|(vW>=8`hi0jppWIfk-XRQsk)g zj?|n+rB$=%mfvGYF6f1(&OpEwR8+6fH0cvFg!*VG)B1;h?FzK*3cbD@0E|c5bzPi?m8dRsOOEOMW72J?3y66xI++8Nxq2CHn9@HIT68Q zU`jeH;lMF0PMjar74=-R?d2ttTt3(<3CZ`BtT6ytPk%p^)xz^ge-Pb+29Y$ z=3>KPo+P$JXM|W$Ka%k9=kO?~qQ_r(lDBu0wujh(7oiibKts;~ zN0l(*_MzZHNrKdaP>NsV<%aUf#`$TT(rFnwFgxX)-Pdt$+z#kiMIlupDj=n3ql{)F z*C(m#swpeSVCMM|9_Z62`8fpV2~f$Al@t2lZY-;0v3kE(X9_~2WL{OQLUou6> zX)C;))Qw^z!?qb-A&X?dni|<_D<572qADRVC>IM!6f$mREZcgq#EB#s7UE8Kh4%Y@ zBzXUA;m`pw0-!T%aZ+DmgyVoweE&_yW&!L%!254)?ME3lL0xV#vmli#MwR2M&*8)w zxq}nJE>AaEoLT=8bSrp*YOBSei;};=SsVupLTd>Tgz`qxA}++V1Pgk**3h6*2ZscU zfhRqBOgN}@?R7bR#3g24b)CHbw!N}^;~S(BAfr)TFCN`kXw;g7>3Rm zjR*pHBWV%n?ws%)z{Ak&J_2pf;`k9>ee->zF*tn2P|#M|P zRBp+}PELZ!d`v3VW>+N@W4ShbGx8ouVmm-WKMqARgP#R!5X-Tag>B}y4O7uWGRGSp zIWgxIxd!)tRngbnY2v?4v@6YWofT+0-xRQ+*aaRAWqLQbolBfH>`u+K$J~u;gVo_Q zQ`p*bnX^Lf!2P%G!2c&XI+3k&(4x~Iyzc>It9@*@rGXga*tSgu68B!MpX?SkGbO!3 z#ZRT^q)j&>2#?tk;E3Yb^q^!wExs)RC3QtB4t^AGKAl#3I%dw66>a$2E}8(Hf|gAK zTa>MeO`FC_&o!rPDNtl6Crwx0Yo>_|$+tTJm%bqY;kJZutZdaJ47X**2~tBiD86$Q zV%6DWV7H6}et6IPN5q}dotfqpJ}Z$%7beLT4fU& z8w|2I!3F00m@ry$bk4km!S~*@j1X9@mL__oBmx#v0fW$5LIk0_k+cYOL4;i)2t>1l z4lBg!QMW;=YK)9aB)p)9z5ohHyAWX)xLou|#?pVTUP2=lz(L=>9<3^gKZFOlz%Q5s zMHvjv33fTkzHc06bLdE=ki{;DjnJx+xK0pChY<9ag}gI|QGc3}N9AoRghyuejBQnw5ocM~6_yJa9zlJ%{s z#%iB+Gw{$#>x1&cmYSk5a(78ts2?z+5@QLkwQGV)uwydn^rnAdB`)@c7c;Zp&*}7! ztr5f-Kh%4vC_pNb+MUpV_QtB{)lG?!s~6TzlxV;iFi(f7lF3lOlGE90v{%Rcouoek zva8St*Z@P#S=s!G1LLH%D1nA7VE`<|s!N=K=ZsW^5cm;#cH1f8f0hL7vO^C&6IFtG z)MYTTM8ZY)GybQII1xm7Oe+=9$dDBtfgkwg*5?9-Hv+XRO$^?DyUuyr2!WMGN0dSq zJM^_T>%#{pM^=~wfxMBl2y~Ikv;@}@YY{Z4)WIRaV&M6xo$f8Py2M-wDw)BCl1g}U zY=CZ|26Q39&Y871ab|IxC6f1EhaDHix(guhM;29>R(v1`MX{0$7LElsOA}&-fcK_p zWJzE(TYNeg94HA{>|CB0Cmsza8W9BYM$#h22(R`7I1eVVjBzfqJqC;NJ{4lsinxN3 zIm1MI_kH^WY6uvrdy-mfgb`;5vZnfUPA_QIw6u(A`VSRft%07|AYHF_aoy3!=bqU5 z#dPwL1lA{MR!<1&BBR8x8E}s&87nr!+nRMd3SVBq2_(I5MGa(Xb&2aW6JQ(u4Y4u1ILS&lj_zlY9S zJ z?5pqGw!ehBP5f$4+~V15CAf_H60&J!ODu4-Z(Wc}P!`J)w48_>GS1Q2gagO0I6=2n zbG)=?`tO%<4qXh8Y*Djze)LbKvuASSt!To(W7(7txG8cCQRc~}{i+nBd1C3mDZT2k z$A0z~P`8Qkc0qexzlHmRT`B}EmC!|L5dIf}Kvbndta{L4;B~FrzwMMv$#|Pa7Z?Im z;PPwGdTn~nEPh`~;9P3FjV-(fSSW1URFQm)`z? z`{MMI>G4(sSKb`qz+qXO5O%GW2Kq`#1T3Ti2BEct2ts)yX%Xn2eTr9w0XAY))&7&m&%&F@8sTxB>L2N+mIa(_mu(C>-Jt>-}rC; zgUN-7GT!zAcONJ3rK~7De(A!G+J7l^n;CBvh><;f22fTxx@f8orS)=zzT(_FR?lUL z^@NgedHGGu4P3kr^-ck;FnPZN;on^r4^MwAkj{fe{+|A8z!`Wq-G52(p zl;$KyXPmRgCO5zB>$Glplqk=DUbkwIB#piDmFH(KWyjk})g}Sr42n1Js`V4UAZiOiBM{Z?VUk96K(8V;te$-{Nyf!oQ+;}UR za#aumA|FCigjY79lpz8y?pKPzP`PuFUV1J!-ik~m7n4?l6HBHOt^YNyuV{1ctGJqY*(MZzL@OUFS!2e1>*) z&5Oq$%@wWiwpqVj{`tvQYUAy}CGF1lO`rcfWxPE&r@J{sXSQzFaSm9w=EL85{wMS6 z32Q&P9L?)dtBX##>}xI^om0l!anq{>g(q-qxvO{EyFef+uC-+5kh4%+T-1lWJoG! zlgaXPbK@-ov(EXH<+y2NnKSD;3OeFo;&B<=9MO4GVuXQ_3}1KzfwUVfRfuMZ-&BlM zcOC<;Wh8j0NhAnLI=z%b7j*(eG<-1fbTW-Rm_)G{K&>dV$b4S$D{Z8*lm&mnR5C4Z ze9j4~Y4keh7E-Q^87CeMCs}$a7aK#>V@3UN;3weX_e7qTjqzP3uaoV*Yz7ZC&&cLQ z(3mIDFT{d5P+%nVBW!U>D~Q})ylI8d!V*OvTZ?f&2kChNTnRdFGFUAU50E-|eyaGD z&T$ITx1Y#sd@XNUSOp;nQl?D2oz%fHoAb11zA96|TeYjVz^%|jW%YH3qfn=`g??#v z^N(SHIQ4ml<5Hxqau#DrPkQv~_kLS;ek=Fsz0wx3?bYw5aolURBDHQ8Ie5-7&GJ*e zm>Kii)aM;+R(&vLeX~yBpF4WjzxOZ1>ET{4JCMR-Bp^Sh5Rui(P7uw~Qn)HsLqx>m z^CToEI_qjb=BRbcliZhch!K`8?(*`VzGYgyF+DZjnhOhK;iekG>IVXG4Y6!GU8cBS ztKdaHo#VHB;EO)+%c$GL=N-&7&gEQ~IdnG+th$F0{stNvvUIslLOKMWr?^NK{cJEN zYTa%T$MIKx@g%#RU<~zv@2u2m+A!f)UC+HAtdGZ|&aN{sXx;wU#rZNfzwP-h)?uFk zUi!LZITfbe&-Rg^<(c=tHVSVd2lDRMQ zgw*?QSIb-q$QfW0d~D4o)3ddra0;>NQHOyUpT{^q*7ID~;*@4Zb(=;P(;V=^z#&MWkbSbArdfOjlO*9N?eNHdFQZ`I32ppj(2Oz%yx!GhlX3-}%&a z<^2hd)Q=D>U(J}qIu@>vl5nCCK_GCrN=J&pP%)GDe*U@qc$>>PRS>dE<{SbRyUos6 zr4k&C2m;aA7@T}6A#wJpq;C60Nw0pI^6LrxkcXY$P9~S87;pd4IKS=JJ*T3j*R30( zy1R4y?l~#jNxWlD8SpV1Sw*TlxKmCpO2v5mCf45;I+?d{)e`KP~(jLPrgvTEISzmM0ET4Vm*7i-@SCPIfrWX%8YN5A#MQn%^#+r#p>yEhV}P_-{yl&BQ6 zT_ILIEo8tcD<2TGkPDVx23Wsc)JEp!{=d0>r<#Z)-=7fsU|>P`2t^};Kol#darU(SxVA|w>gIe`^5%iuss$YK|C2BEct2ts)yX%Xmx2)jZMh^kbGwTrr)@4jwn z;`+mwe}3V@n{)36ld-&BzfF~a!(wF3Rmzc?LJ=ScMN^q%uyBq=bNL+BZ`1DwdjP&i z6ge2Fe+E!kBaImtGqB14FUL%b8ITOrvy!ut3wu@^9sN`?fSKairTTg1>;^D;-aP}= z>t#I$%>J+P>V8^Xq@M)$Q!CTT4gkwCj58)Rjv8mI!yaUJ3Bg4dTo@d;cq1a!Ioi^M zQLzZi&o~)i4I?XtMXOsxs=gpEIlIJLah46&%pChM|Jb>1%-Z^j)av)7bZbBZWf#z9SbvBw;6{i2k3ZK{HX+! zenlDV^t1o-Ul)@$TcIPBLKeGzb(@ck0i5oyA!mKC{BRRL+~JSW*BJ<}vih<=j11Ys zaAPN4&`wa!9!Srp{^0^0vLkrqb|hxpLboAI1A3Cx^!9ztZxNdo+5^RplvQDT`|jaF zH``*|J{|b%Or|K3lm0~#0oz3rRZmX4KngK9Y}!#v|1pWI=EA;9t$%D+AV|~QbKPtcTOHae#A=UV(8X4^!~#cgyoFeGMKLU53?Ljtbu*qp zN27CTbWtU!$IbfBwLv6K*9KV!gDt`??pKPzP$?mXP&_LHR@5wmQxDb_#~7o+@WIiD zAdokb7O7K{Ne~0R6&@N?>fn%IG4NVOg6n4bE41icw+e8@4m&Oz{$m8KaDk-4 zYV8PTHP(s6iK`PFr;UKC(3~L<&ABD8(&$hUve-F)7$-H_Jshhk7vZ6ss%{N>rz?@D*PHWt_R+;JWK-Ltn3fO*=Cp=6@CsZ)MFEPBq5x$QesSp7my z4!fFIW(7v(jx%64@$_j)?*b50^+U*}(e=4qAMW-@k1Ju%8cJ|{^Elf2RICqrCwvXj z=|~-xhU_KkM;bJbqvSo~fA_RpDod}P>kESxoVBtImZkEoO z2}2;B6#^@2mcgm>ZE=h-DvS*rjR*pHBWV%nf(W}p5Qt_8#aM{7Mcv-K11IVnX8oz6 zi}w)HE=1TxT?QjdEZnf;qF4}JR|-zDBp3vNC{~ie;1G5>l58;e&8$rQm_=Yk%`!Mp z60+F!tXlymsOOEOMWDNL!u!S#ijD^K=6EPTCylh*w^;yfUC(BpqSnM-PNgJT=Vt3!VacQ<{iMeYTnL4#8X7<$8r zCZa{9>2J&5BDQT!ACUfq1M!6eKY~j*6bW?3hCO8<=&WJ=@MBui46Pnqll(M84~1{L z=j${|jB_p4*lL@cv`%+=^7u~Cx`XX%yd{_h7&^+zmRR5@vWG${#H#CpVX?U;6Z+v= z)?e}Il~kwXJ$sp-XcE&TTij(ZvP60$cg|#C~qV!0$n(tmf%`qErJG>IyfX)47_*_ zU1F{TUFVgcoF%9_^l9LkFbV1b10AIjiJt4x$DNTl76Z(SXvlW9X!~^w8ZI3;jylZX zsPt$q=SzZq8XX}BS?ruYjFaNnAWYchjig1OTbZj49^4($yCP(2BpJZ>JyjSxp}J~w zX}C){?shzkx4o#IA|-XLnJtsdZ5cg%-q!4>-^{@4nN#SiSY73u-NERh>mOCs)Nrfk87+@H)ya`a@sc>){r?l1q( zF4d1X3GXP;t~Ilne-d+6DsMQeIx=^a0kv+aza_P9r^{a8*Jj`Nga7)!$d(WH0*2Cz z?|fHp<+G$na27Yy^lFnAfv8G_SoOmR0}p*jIEdmY`yK&JqYIN{i<{+_bAzq$ zFy6X#u#Az2$j6@ijVsx@y^--N?Jzj>t|!b1(wL$J83r$&6#^^T3k*)}w8im0*TKX# z!H2x3UId~l6=LnAZg>CtWsUxb|C;o=n4gqXM2b>cl_B8LAOC?r{9lwj68O@fq{{PtpG zNVB;87SKnOYXb9xKD3zs=HH$D5!|OE&cy&Z));R)p1tx()yy-_mR3q~M#gS4ko(>k zeV$Pc}Uwxx~G>;`g@nKo{%J)ONVGh^TdF=BR`&I zagZSfFP?J(D~%2%A&VX6Up{<9L$VbSK`L(~EdpH-VOIzOQI!g@c2l?d{FX0o_Rzv2 zhI-mdIF7n(3dY-o@3>7PzHd1YnLqVAfB38a7Irg4;Klt~F*q1!UIb$?|ExQ(n4uYi z8F!e#ikf9`l6C9RAf*vOAa5is0$t}vb$ljw`gj|LMPXB{g$w4lPsbG1HvYF?^xuAK zcDz*~QUcT2$*UfFON#rzwAa_q*^Q@9-4;g6C9jtiVztH2&wlLqW9_D0o!Q6V_G$C_ zRQl*AY&iCmi<+h=1p={lq}ytuZhD{c3uxd3N?c^S}tv&rkBx4lNtz zjpbNWgJEI`9*CiQf}>UN**ep1n@C`?49l zxc`i7UIdMK68%Cfm;(hyLO;S5r?i5|?ccT$LJLb2eQYhp{T!s{Np31Z=S>EyCE@{6 z2jWWxOSIBCPC@$i6M2oV(ZiUfBS$!@$ z>VnpmRp$xEZTa%EcmKWjU(3z5Eyj|bL~po$CHwMo&Tvngoz%97t&^Q0g>kRhiqyKz zqH~t3)~(H|rmw zh($F}e4d2lpj$1Z2(zh1%S=wGnp>)M%ad7V5-Qj%Th!&5TDRuHV&&gd{w*R{i**RC zDF6F^`NPZXuy>i#e!<_U!>cLhi(m0rC6U}+N2oO$&>_YPAF* zh=7Guz#z1i5J4z!BrO765XlyPQ(^2W1JVW5!689oAjWg(5|enifl^7`X3zzIfD(0i zxVq(E{k-4$T11y20x#}YiosAZ&wu+wfo|vAwu}%US}m>@7@R8Shf%k1=j_s%oF|x1 z|C2v<3Ez}X`Nz4sO1kyVyA~7qiracX=%zYKpIQdaWZm-beCZ#2zcwhwQpmB!jkX{9 zno0J(4;$j+>0lYieJ*m(b=zx1lsV|X`|3s2f|{m0H?22vc9#LYZhPA* zc)hIOa630Y^0B}EiPd7i2UV3#3w`$)4Jd1l;OoE~Q!_jHEnYGikZp$mp zmaHfA%-&!4-Ire+s(Rl4ThqJQl=)QwMe1PL1kYyGKELweMWCQkAr_u_g%~?j6X77L zyTf%bZe!)l&P(dnqHtL@C4@UI5%c4}^YTAL%$ZSxz>cRQ#bBtIzxK&gL02d7-EA~O zh;Yy{61L#_YDFpMR<=V}zis;-cuH^+;T2IU4{5B9b$bi81GKZberrDV`wCA?~45tdh;&vKVHMg1krDqv969n=`(jw3W5q5VhB|UNN{|%{0` zN@pzt7_d@Q4*)6lR_!^vHL&erpa8Z9>TXnMH!x=oMZE>?IwIUXazr_%!qb9bMZZ5A zH|n8Cu5>MFLz%8{hm37d$9DxjcmlM#-BlnX&fYU{a9vGXq(r-+^A^~7)y5^MIgtm7 zaEF{(Ma%_Fjo1R--WpEf4%9Z0{k9@WL;nkDuIkcIX}0}=r^lM^p6h0d*j!{(9aMV+ zgD$qRB^Ee}<1NIhD~e%JS@~#r_Nd5vB`B+uMi*6rdemhwRKjq`kV+c~MXo_XAp$?? zSCqk}3mhVta+ttMp|6xgz(NWGNEjP98W9BYM$#h2RJ_%`5CryAD#R+U+j*;Qk*Z@j zfl4W$E18lKbpk}xWiV6|xI8%tgk76Ym3{D9#guFLRBbLBwwuI#=0v1wx zt6Lb~G2uIa4~p=$(?VSBJMOd#<9UDiX+F!mq!=s_z3=`v`E zo!-ed)u;V59U1b0$d|wGj_mHBLZk;`ek(x`LPIdfulIyLae%?7#5>zGTsk( zX0JmImqlyk35{98XC>0;!X&82T-GaoX}Il85=#VyydOBp(TOk#0x`eP9%nE(gk6s0 zm%12y?@h}Hfz@h><=jFlU=UhMh#-_Vk`{q3h_EXJfoPV{*@al$>NasjWt{<&WNY>i z!>pC9O1u0c95-FIu0O!Y5(`(ZqFeaiG7=vG*!uzbEQvn^fu>)+PLd1;M_Sj z86mJ*EiN4l4wQr}b^(LXs*->cgz`qxBGBDA;eF!=MMs^zDSsw9?uJdp;ud{cM0Fh% z$<{*p3QQNY&a&mPb%q`l2F^hDi)mnoM)*_C0KU|4pJexGJZAgg9Ev%4cy0Bh^>7>` zW3**~q_bKuTx*Jr9n++G-rF%~4cB98u~SEi=RiBPI)a@}2Jp}`(y3)Y8=^`b+1W)& zr50Oq7H6stTp$CW1{Id$GO~Nj3BrO8ns$i;v2X)70a@;L8>LC6Uqw~%d72QhCR!IBuwpS{viXqMAyP80n z&-LJvl%UnPljJ8EPbE1khBYtrN(r>DD%kS1Q#Uk`kw0JtaGx=^RRh$nfFo6*i-1La5|;Wc-^V_ni>u$v zE`jPUGo1}K;0`z5j+aFDj;=L|M#>^|x-yezOH}LR4}+RT!$m5nfWH1PwVW)l1o`&F zrG?;6N@Ton>!8183+C`o2ri=MkajCuVu7Q$b9JohcaQ5{W?00qML3A+W<0Yue{f+h zpg@!3EydB@N&w7yf2^FW!`BB>Zmom8L{N{0z~IzT@-_7>St3c$h+-ufESwY7Y56u` z2s&>zj1X8+vkXp+ZgGqUV_YRH+Zqig8W9BYM$#hC1rc_IAP`ll5UXR|J_oyDs=oE7 zjJbolHHq<7w2*9)EsQeBsQRO1=n6ZoFnsuvBLXvum1MARjtOjq82orv2&|}C2Iqk4 z7Vex~x@*thzMLIz4=}cp3!<;vb!GKcAN5Wp1N60DJD=QD#@oR>!B;-@A<~p^U+GCZ z{h(VmBSk`LuCrf`c9t@BHKN8Z-_scU^X1x8p{?=Jt*Ya+3 zGcw0>PnK+!n`Np#(U2?JS=UCU&%o1npP8KeP;R{SqK9)Z=qy03+r!DjcAgN_^D7@- z1fnVxVs%^xv#^W%CwiS_Tdm1F@&)Tv+c?=?i zokA0WKs1#}27?1@8ZiXoSs}2ZW*MCNs<1c?I)l(!LIk0_k+cYOL4;i)2t-vX#M({W zzWsww-T4+}yp8uzU6q7*qAt5m$_Csl%HmW$m4#d}3IF1Lr5Fqq!cHLuKb{o=D{7X( zIiR|QJBLq4aTk~Kui(WrGr!&9+g>?ta%>$luyqEW{^(c!wnQrIC+pD6So`i}ahP6ic-anctg@OYeYog@Y;_F8gfJvGhqlc{A#@MDanvjR%%d95d$-o^Ck4>3A0WK z$Or-Xv9h(%(2ygNcNH-N;0NI#jTIMxPB+z+MrO}%>g0wXG0@`v`8>rF1SOqb%At!o z0V0|?F!FRV&0G=|x-JC9iH}nCoX;zMMIEk;z)+r^Fbo0jO$&>_YPAF*h=7Guz#z1$ z^inQ1hI-sHXU-WoftUC_k>_P&`IbrZI@#{aX7EshANKGfXv~x77h=I2C@>QG5w--_;j-e=Ois&3QozfBw9H0yvl>NF$o`_?%>kix4vFF&X7BKeF6 zcPU#T7KtdxOl=4Dd+iaQJ=DqZ88!@^9Z!-W8eXlF1uDqYS#b2=jooOqPNC<+t^d#6R* zgLi%QmzZzMttV`%ot=m`Js;2O4DbVxi4Xqf#AM%pyNc010HKZ{JU|)v;Ez9L2YS8i z0m{;Y7z!b%^mL^)#VCp&Sooa>3+eoHMFbd9EhE7kHk{RZCG)#q@_M7aUY14|(1d0LYqmJsP>vn4H{^ze-YCVU%K;U_WZMXh|ir2bLEEt&aH5v3lH7O02 zf}kYtI}rMj-!M;EWxT~3pa{Z&XGw;bExVa*u7hQk6v;;kUo;{SsJ>_+2p*b2B+d^o zRJsib?@bGfz-qO)USM#loFB$I7{Q;sr(Oi2DivaFTeqtm+P^cIe24OWuy_yEBNHK> zsLRzQqeUx1HyJ{;kxbn>5e>59PYM5`ekBXS(ZnHV;9W)IW*C7Dn}U`SnLw$ip{LVD*rU)MGmu$?%y`A+gJ{|OHe)Mb z;r3ff^(>}Te5Z1Dr)VSC78zJ>p}Py!_)90dK;FPO**JedPxpCQ7pHWaP9O4qYwHNQ zjH5+CbCFa}p=_5gdxDN?>kJf>L(%UrJt2@omhal*9Uj>oVm+8pgs}1}A6^8aS;C|+ ziggUNVChBLILfeC(ZR@of|Fl>Ni7+wwN1vtY+_kSa7nhf%V1=Qg$w`iKa9Q{@HSPAsJQ)onJmwGo1as2^ZFoMd+wHm=i=#tcL=kei&U18x*? zXN@`6c4p)hf#?)%9g?Z~=6^UY4cQTFjO`KWiK*tUIZfOkhobscKNP%*J7jFC@ljwS z1Dyt5{p!Xna7Aj3JHhh*wp{dKk<@$SlrupC+T*_1s#Csd`&!88&CI7}xWlt{65FnC z8udf^K+)Uoxcx6AYaUn3k-|^1wZYjYHFv<+N!|4KV+XNOwE!ji#EJuhgUTix6vqoe zl#7)uu~_0nM1UdHG7{)|G<;J4qtm?-lvPTjiz-3g`D1Z{Yfp@nRlN5m{U%>8;{AXX zjR*oUzbeHjgDaN4n^uC}n-&&9z132uqm^?DsenOfEg^zX-bh-+n2NXB7lOc^N`+W; zT`=(CIdqAUPnxH$0*I)TMi*6rdemhwR0@kSip2nOe$X`)R`V-uq_U*oS2E6MhGoAI%oI=@Z&iru%c!eocb1IaojTN(ZJD&Adokb7J)9- z!3h5fK_HqX6k{RQF6uVxOT{GF`D`F%2Va@YGQ-&F|U1iD4y+-WjAt3a`o%ZmH%^J z@QUEb-g^e@wjK;N-u89uxUXV?9uM|}GZ`?CGkdNew&6r}HQo|jbc+(#aS~scE3yYw zhh_6vb=NSYFq#q$qPlMVmO{^XLc9uO!xfIR8eN6 zWp4X1Ak2@{k@@2fSEb2VBPyx1&VV8@Lm}OQLo#R~h!{2~ts*pnP~e}p=hvVJZG)dE z?Rrj}bK;&j>to+@&%Ph=u0`JS-m}-Up0(C<_C0ss9g%T|x>N@vBs2+SfFy^Kgy6E- z5hPY{(5DGJo)vKt0Dcl1f>;>gP)P!4Xq8;`^Y#^>1$k#uVbHZ(vaS%g#0UqqWH?+S zR_5)s$|cC1I&k7yk%9;Sl?xu#!QzPR>V_F8b>40XE}Q-Ec?*8R!B1jC5c~3tSN|2` ziDul-{-;4)j)SeA9(6qX@0qVsV_k8HQWYR|bZ79EcTVIfO;5eE;XT>J(6dQf%S~T1 zDIXo>@n^_WROMvjY1I6zl4l;6BkL*f3o+Gn`t9+7=kxfk_p9&i<}bS^&hu$SDsWyV zskjA$-CtW<5SJk@MuF?iWZtgW*VWheB#U2T1K}MF&l>z&@sezi^#xLLxBg$&*c*J` ziDN&-9q;_!7^Qn~Na6Y;5kdMdT!HV0^;3v20Q7^3dAt9dMYed}-W8*&a5%o>Evq?9 zd~5(AAr|ZCr^sWz+e8?xUe8x@_3|;e@h(SrqQ;Jub)VjGVHj8heH%|Av)Lt*5Ur&x zcfS+d7Jo`=m{enOJr&$R{;Pl(_gkAwoI}CiE;zx*!=a9XW+P(&bX5p0O^&A)1W23Pu8B_{VA{1ruD8kqJA3#0m~G2Vu0v2p~U+4MFV18@22p zTqkU}EXx1e74voxaRyz80upAP2PDHQO&2WtJx^fVR1`)%nKiTg{^a-G0&Iart) zwl&6ccwGf3q`O-DYqb0~g4?2eE?Z{d=d#b1@ggdIAv@D{dD@JuRiKlfYSAjrzk(dz zZ&lKY!EoMIaJ=;pf&!JB5>;YVaviu2R=Pi(z%>=&7DNC(uM8!q4&0-+o0|3QY#(fv zWZYUEcb-1}&EQ5}y$`l2(!q2?>T`?)#_;Q^5M0l7nzkT-0u)~L^Y-D1i~#VH*bv0R z2!~1%KtrqKI&j|h?}+{_^RZU_3iXi$j8^it>V7-FaKhF7?+OLP`n8RIpn-YYeeB<@ ziw7?7?mFg4fbfjr)Kww4LiPgGP!Ho|WWz~h1c0B!h9EZ0Q(*D>78lX8a39PI4tyN= z(|ryKd=szQR`%C}xAVoH%i{e6Zq-xro`nL@olPzBK^>h9R;?3eQ8fec{kGK5sF-i7 zqrqUeCba;V)7MEmb-daL^jcpKh4))r$DKuJ0oT*wN}e*# zZ#K^yMFzul!WR+@pcGezB=|aDg=?}J{H@?d{zvGl`y*bryO)KAUoVmm21vq_POkbo z9tTmAWqc!^YkBObvKhFxgB;_C^7~d`zLEz%p%i&c*)ZT4$zM`q08j_viOr=$5VK$C zV}x_$@9tHGFfrKl`UY_gfe;kimma%>6DU;W;PCC?TD|zz6`geHGV?n@U24JXa*ocA zJk1F(o4wb(05x15#>vx~gQa;bF;fD=p%K#_`^9R(8PBE&RE|{lZSe z^=-kuq?O?>o}SWL_I9kk@LqF5V>fp=Z;KmlJHvTvhr-jpS^J=d-ZPnaj28Vh#Rvh^ zwXJw9E>c#50@v3`5NBNYlbmeuw=ixuyeT*tJ_+HuYkc*KO4@;4LK)#|$>F@+yn8oP zqkqiVY2jL`zmvL=E}pj^kW<%A!8fAH-IGpLw}FqP1Y2{|r^_)00WktH^_M2E|e~ww+1m8<4?me;t8A2UZw))H*e*Jo%WU}K#Ti~=WPM^ z{vSmb-)|SUG7WqY@3MrR?B&X2i7{>8IIHC9FE@i_l4M-f4$G$L`VIG-F$lq-+3XTZ z2vE#T@oaUi~;DYdB#O>+3W}sD>$5Z-lBvHG3a?jtGVAUD2J=&Er^fY?}A=3 zBv63hKk{{~n78|{ZP7=~Isv#=R`o8`D*4;P+kfZdh8DH_>@&D~&8E(ZdAsD5bbso3 z%eIbN+m)WPQ(!t>hw^SK=Iwyp@8631?UEP7enng~x?g8sbPtCYuTPS@c;4z0J}T(0 zV%|m^mY*!g3mTUm|LIFNijvusd( z3*N{W09_S=%VK{F59`f&dCo z!{uR|jBGfGi~#VH*bu~Cyj5MM{k!a@?fzkv5jE^Jn96hsyGR9IUt-mi^Y#)i+e@qY zm-cR`(HqI$b!5Pr3Sc$W%2v43&HsSp3Z$+2P2N@t95M~|pD?Amja?*u+sCnf^Ta{y zx_I|w?dR^De7knGrzP@g6Exy5l|v#+$cq{ad{cYUu5IYnUOaLj{T;7^sRD>~SVcK{aW6Do}Cx_GCN| zPLJ_?AdMP6kjD48F1%{t@tCOPJ?S_vA62k!uw`#{*1%JF2?LfD-7Q~8w5(2k{O$%P zxa|1$oM!O_IPtvM?QN&ceV$SW?v7{%m$=Bo*x;z{x00)$QH+eZID{u^tWz0cLbKT= zln}0`4SoiOz|G|UiYJVyTntZNN8Npw5OKBPdGn7B{d)1{9`3*xeq9xU%Wpvd1t`2k z&LyHUzg;R~NSH;N2wn`^Axc{(T@+RSLni%RX;G00pSsv`=jCL`DGk zNo)vWS2z3(;A0xJb4~uVax;6=iuvJ+TTws$&E|IOA@c*~>)Ba2kJhd@QlaABW|Z{! zjw#DScOs<;!YP`8nnfPQ21j+jm0bPBV`NnKWX3vX zmHpBfgkabDzb*E40%}&7jOmW5J=U#+oBLp%loIFKgLnyuS;0eHs)HrsULL;5>Xw1f zH7B@C_AW>O1t{F3=Iz5183EuYu_1_s5e}6kfVzgsSjlz3yxn|`A|QO14F6h2;!v*; zLv}I3K`j{$*I2ys3#W1c`cwx_ToVogK;<$W3{Pk`8)AS|Rh+bAki-^wESVBfMO}-7k?UkZ%j5U$h>t-FJgj6!;Hu^W!>iUyAa$i1fnt zekDASm8Ylrvzybd6ZU_V>utRFQEJ%XLhhEYZf@A_8Bwn+;mUN8Q1L>MB8mh2d_b%p zdi8X}B_FxJ_rtr@DfcSkskObrX5g+1kYUahc^DfURr#=Ts^sdYI3r`Q^I=vNFVt?O z8=GBH6Y>uwe}WT`$_pZX3DRec_Q8r&K=K&tDmdxu!c*PB;&_mUZ&#bbKrpXKr4kmMbe>jxkjAb9Rt5ibFuay@r!C#t~f+7)#LKUK4vWJ`$M z9hpy00TiGnX{&ofB;nvEu_1`+$=)zuEl#rzTd#O$*~nSQn|n|R*VRB-+nLWz}|5>?$)a`p3q!8z`tsIlJ8ax`!M6_;I{ zBoDRy@`LcbTJE=a&t*{Tw&481UfOKFsCs7?pk5co)q=Y^_=9NP-rda$eo~lR_Te>B zfWo`YynT2gBLLJ(&G76>`Bd4E4hRHO|L+{Z@JvWB5ZWFE2^WKJk^~dFV05f>Ho|as zLD9VZ>gN91W)~I$m0B_!k#%cD2Fgsr&#&zl1$f^$sB5?2yFn)g=S?(k1@rw5CV%x8Ys zm_zF;P_s_Z?@JZ6gwv~ZB#*}mzN0|G`|azal#xkX1@0@>w@c@3w-MlGU+AQTadlw_ z-EZqsoF2v6UI;Gq^Ua&beT%As_t@YNKB-`57e$SAcIYJdx=-O_IzYckzD0<`p5cS(oZygnV8xZ#&?)b2Z^2a7;#Kvq1m_sF{N>T4Mx| zpTveB7DhN!k^mZ7C0GA0%;3{C9E8#5Eg@+0{{)u_pUZ4^agsdr_TdO^HYs&3mTUbj z{2CaAjE?{Yu&7c3pgI_yntiYisH;NYu-QA!&tTs6b)eYGwD^9zxUY8m37G7yEL`8V zN_1Q7yN<>2t`;d+>gUJ~t9Dt_`BWZ^zj^izdAyOw1|P=p#U3LBgT6_8 zB^T1cW=ZCC%twZxM+)yK)l6ELa7p0U+r;t4qa1xg+yRGb9IgpuB(R=*dL7hdYiv=D z<0x`c&4jC>1z~cg$(YA_vUN4LD;sB}MSReB{Bl2teij_A6JF0k)*HBAk7~+Pa4%VC z;rjN}3)%yETPVr;VR)}O$ijJh=h3t0jD01Bv_SN4)&_MrZx7b|OeP*f0Dny}LI8Db zE8a>j>WTDq62!sHy8uNvOh$&FaNe@~FNT=A42oH0&m1?B zHqHi@{X(4KyxqGG-aFzr0l0>-Q9N&Bk~2r}nb%iS-um;lChI9MxR=&gc)zV7Nlaq} zD&}p&kBZc|yCwATyyYddXBR`L$X>4C)8_~QG&GxQwpTBGWc{22Vv}ayE*Z^9e)(Z&i^z#o3|JUjet<4 zG#w02&Ajb^y5nTvNzFjZb5t+*3 zTsdzSOSf|1D~IpI^A>NmXJ!Y@+wGY(^J2?sIBy&7L&TS3`3oYNw^gg_xWGglq(C@t z%VPS93%qQ0wS7TE^S1jCyjYZT2&8i;;=mfhdApduERH;FU^s72Tiy!UspII5{?5k; z=>nodCD*y{gWYyrN6pSQxq?lkDpDlvfU1=64vs&LlVQJ*aq`yegAtk?bSOBP=rGyl zgEzEFu2tr3)jrsy1*9$L0bPm_4rp<8`8M^k}RU>yYrG?kkY zVMgpSRdUtugE?PM6Ba~*Sg;TFp@j7X+9_CEOZLHR#=#>J5}vD4nhu61G*N>AQdJ>> zOHIr0AV{p>uy7wt$O++o5*vb87~xP!0;p^F{=!PG`g!a9{;gY$IA6;{y+WPr;v{+K zCHL0|e%66PUl}@lM2H%VUw&5DQ&n}O>E|MKeL-rPY%36wz5;d8e8_(O0)HO z_`r8kaA!^^JY^0p6&q1E}Sr6&(_O zaBR!o78iHu8|31|R^aW1E!V;0w3H!wb(c+9O3h(WC!RNpJA1B3*C?8SnnfPQ#$0v3 zm0bPBV`RiTGU16D>r{rA&}?=IC4}o~Ykp4GWFHI_ksOS=EC-8&No48T~;ZjwT9?T*Z+rvM62C!V(`VKu|w06r^|KdlVo z?zr;d&B}Zu-2*00EBor>tZPLd@iy%(N^bi5o0#c8x}(3VH_1!OSUC(U6+dJSNi0Ci z*MyaGXT0n@e~X`<_K#<8mna|ZQ-Czu%jgr!-;zyxi3*ehZn)vx2?2(p9~U< z06w{##y&eu+P61ru5T-@Jw=!j0S@uMi1n>BK@b7W*t<<51klhbx%xM72A{eoGj6mv zm4)8{i9J}GU7REjz5PwJf4h}PEEQNDPLdfUT)I36AIZb0%W^P6YK#HWRUx?iD=2^h z6z);8-rxw0fbf&pkX~;`AO`)UJq);pOR`5nf@bhdlFVK|1&Rdqq`Gu4A3w{l`$YbfX6%InU{5v*3a+wZ>Cp1xm0n$|=xYV=^4}!!B4#UM@ zoQ!NZan0?G5jt|Ib-3h9ow#6UWv?4nbKi=);DWWX)9%2?PgGhpmb|A|pmZhlcem4* zlNF=_RSIwwDnV;05PsxXl8A<&@yRgIt;EI??a4euLoFNQWnr7m$5G(bW-)g}JuFdm zT)VVmzPE6lP{!tmCpYg7&(8K=KHJK&uu*{~_uG+u!Aq6K&%h(gYESqx|K_D6!uCUa zyWPllvo^Da)KxVvxQGgG|J&~%o9#a_@Mx3pq$(fwMk=}bDbC=YB$?GQR7Ufy7X-T| zzq5B|vr8x;TrC+6*GM!|_rZpO=X*sr5))ey2mxl+r8*cPp-CtMq^m-3+3W}sD>y9M z2SX^yBS>OH5R2k%1owz12nIs)yC%dB5P8Zt*EJl3c{Q8KV-SK}L#WLzPD1!lPr=EM z7SCNHVcv7zKBjY7#aW~v0?4dObudC|i~&+r*%DkfJA%Xt4uejNlaUQ4kr4oX5*xDF zh_9~$Jm+hK(iT?=eJ;(U+0$&Z@+Bxmvm)msGsuMv{9tp{Y~oUP%UK{ux5{Yt^Y znyNNA*j|C9@8#mAG-(yG#i zcIB{3Bq3T&+wF0|YunXiAMBohRJjfe|G(cz5DIWomZEe1c@SJ0LE%|3uc0A zcVxcZC4d4HUbXXWKzJe}0Q@921hELV5e&qnJq(1lM?u2H;F~0w&C>ZR>IXwm#V7h( zhh1n0RC>wL$9_c4FPzQ=?AYb)|9HLmVQ{l1M5tV*gW;($2578il7!%zjLfE|018ku z2Vu0v2p~U+4MFVNU^TPv$U0p8#J4{AJ1}q3-ckjqW#7$a{5$vG`=EID+kOqzvbp3w ziUI|1D|5T@uKpap*9eDb+J+Df@OtyJF z80F!~ng2`8)^NvZ{60=|yL1w8J8eGujm@{*oApE7*V~RD2vE5xQKeNSSO3|A!Kv@b zV8V5CXSOpo26;Cv5R2V)zl2SAI;%{^bVv1+1(4+tv@nJI%df9D9|tQO0_m*ap)S?Y zB|%tZ41lgV!DX}eMHirk%fmPs*>DmW0pKUGA&7+$4wWQ;hE~b7aNc%zK}HdsRK1XH zQKP1_$|Q^sI&%#315VFA!58!~5O$LJ)TU2vf8PI#bR5*B7Tnc=S|Vcr^nwX4n;k)7 z1&344+rAEzk@idhZnqotXRd!pDg86Ot550iMOTe~%YeM7U)-xA`|KzFrhhBKq~BD4 zOwZ*w;=5MuTmHP~n0xcdEUF@CbM-Ut(RB@Q#-0OD3NW5RMZ%MCZ3B>P-x1d{E)JGM z+B)faw~kkKWfb1tzAXhkUtvD6i<9N?yyR{L7q1h(5V;D%cUr)&L$F;i(%s&D^Eb-Z z5N8?MVmNQ)L3n~b$B(D-Tz8<3$QVoVoq{J^TQBVpgbb5<1_#3v8Odj92FPyV`Sp61 z?lA-5AG?eR`(uQ2i`1w0585zd4>asPqD93S@>piJv!sW;@1PPNfO~yRdldY@yki@COB0gxm-DmKHWxZY) zR}*$$1JW?Bu5Lf-Z(W+Z?H?`l606m$Jc#?`r5oOB+S;8*&z`fLkALm2yjMWK>{Xr< zue&siqravYA%MEJ6>lXM^+ftQX=iOin-01&^0c_>IqZK}eq4sR%YgKi)qO5QKw$6Q zc}qRDU`H2hTU%Q3p z*Xvn21fQoptXUR5Ki^LX-Fxr^+34io{f+l!37eJ*T$Td=;!ocGHf}d*seq+`_uIQx zOyhC>wcE|#>fdkiKAh$$Kycd2mB}i^;~9OGTu0i!m9nITuM^4}D*FT{{k$PO9~=cI zyURG7J62{(XM>ADGDunYgP*wW&Kzoj&#X&zFhXjK0UD~ACLy?Nb_9tP90r{jCnFn9 zA|n9&BsK)GFv6jd1klhbxpwBQ^Orumr6`~Ud1q2#FtXcG(^+K_N(i4hs#m}OGAQgM zbI9hy-+5s4V%t5zXV#@U7$G&r0OVnYynCf2KARYR8J zjK;@_9`y29@;_eqYva5*qb@dlPQ_#`trRE=iGTG^eeW0WlgYG~tiTz5vg+lgg|grL z-u<7&{_WEEg=yokZ461oF%;nQn;Y`|4uA4@@BXO2zCDIE7oFpLZ)P>JR$Tn|YIqi6 z%EGuG{NDGzSMk5bEo6Ti!tO7iNm{YSs*g?8`FQ*|Rvpp-|IYvP=DOk~w;$;mXkLTl zI2?pDm75Y(6;*QW?1LG5GQ&p8(=SX9BaA|-r{MU>9bacR*;5l10+m`a9FdjzCYQ>^ zAR6n~{LI(i`@UcPJt0EnG93(0jWIxDHIpO+*JMP~yZ|+G5Jqc^0P>UA5X8a=he{Ga zL#yOE*1RR-3PE-;!a*$=zt<4jl6gy;pT2$nm-_rx27*Ja%XBb2HO2s4`n;`a^pY|C z>*I`Cs90Rk8I_x*oJ4_&S#pxPGU-cKfDUT#{O-3k?NlvNYKZp3@P6<_S1FLU&MKJ_ zbRG(fTg+wudRk8PJjNdm_w7#%hU?=Vt!M;Ip(6znAlh#rC6zw^p^z}!*wf?(+tK>7En zg;LQz@UF>U6CnUpF4MvAgeI~vK=SWA2@zasT80NfVg-lc@-R+DHk`O7~ozG)X{BSPvz|J?#{Bs=TM@wa-Z>%zZ%W{%v46L%W;Q zq^A1aO`62*cM>(j`_b)z#v5cSJNOzOSXj&64lFGSzl_qOX&ZHUke_GK?%xTSp>tp_ z1?sN#5nuAOSNRigxJ=*)e9e=c7j{_1zIl%*zFOK&Z>s+!gZPYjREvK5y6%OfmmI}X z|EXV(K47#Q5F3V`c;2km8=+G)gUeLpVQg?z_dDalYLr2Ympb9e0FkGR^O>Vybl7Mi zyE4E+v)Lt-5I)pXa5ALD^U!cRWT5OMa|rN}5ddags)G?yV+@d5FcVxhJA%Xt4uejN zlaUQ4kr4oX5*vb87~xP!0%&L|*Y^=NHa9?Ij8#X$=pf9kJ#VZ2uYpQDzN$v!5Q|LC7rVZJGU;XuaoPciA)6= zC{PT}$v8g;Rorjsc}$+SRp99B+o+vmt#AFW+nrWP)6@A`nGdZuvhyaf9%(&OsfRj9 zX@cNJGxl!N2mv&-O0IrJG5D}bB|K4Moyrgsn$0eugm67AJf3aEIoq3lN9AJh40VJz z+y?=ZJnXvcBnXR)0T`;8CLy?Nb_9tP98SCsMySEBbc6uv8YW{U*Ky`8NkRG*>fagw z&`XBHH5TuJ{acsw(J2xVo~crr4u&T*QG)@}RUx?4vic?X7X`NuLU&0w-61PF_J4aG(PHqV+(Q zXW{hhe4o=pVxwkwpF;M+wp=IlOH{p{b}fzhTJ|<3$tS)7#doLoLKKK@QAclsmS+rm z;p?)T-Hnv*W^HB-sjF&U=YHG&QXR-}oeEM9I}VJK$)*GbTtjFjS3fNne3K-zI-JT7 z?3$2$V6#goAzUpv+-4f1i?UPdlV#G3_e}ML6}#wnLGv|I5eAGLJ8q&$(;vY%Sc{OmHW4U z2^?b`7U3{n4(-z@!2_l?DF?sQ(T0*&uVuWvs0rzSpIEV0l2<~w%d z9vXM%CQs)@D-nf&rPdhk?-cC`h;% ze3K-zS$fFN9ej525)gXHcOPv2dt4mP_MNxi6tdgz|Jpmh^^bY1;v@Lgx=aVdQ)3K} zt~tS_re$~#Bvx=Z;k-rqG*ba`?}%oY=jbl{_00!2zX_Cczx7DcmkQVl@XhA>Kl_U9 z7Z1B$+xgFY_2v)Q-t;U|fEPu*z@W0(e8X+)?qk<&I{(6d{_f_V#G7&6Z%vI}fMfg~ zsN?tYA9m~8epNS1zi>N&=wI4g--FF0V0cep+Y{t`u_@^^o$>AF=I4IBLGIhDf8?EC z`xkJ(wd}4~p2p+cm_O;;DYMKU_OS-R?Ps@}Uwr?sby4R1w)?QYAM4wOCN%8t ze2fr4L#yQKuNi|6Z^VQrYV3*j!8X^|U-?I0qbkR+Lj4T>off$Jb*_R@OnX4?a-IFW<*N<IpZwiF1Ds<7pUP!A7@itqfb@b1 zE;TK~gCMbj!zt%&UkA!an<;Qz{_WY=Dg*z+zq%!#sQB);-JA2)o&mSl*ZdzuKh{#i zqwTG$zxdv_|Ckz?gS83-Z&$T6EpgP6+~#Ikn*KrCeSqioPyh5UM(f)H=nn|x17eRI z+SRJBc4kYMu(t0AqLPbxvRRVOv9rc{wRt}Bw7BXy?0@Gbb4C2|ET{~apyhmSTLaCR{Y5QKxx-dA3L(tCr)wJ*Ki7@>M)L%M+V6!CiI_4uo&?AL+ls`;6X<@=8fn#qI z#~Y7w^!@ymq0^jeD7Z^E5?D_p-mlBn*rFWAS+4h_nhBSab_fzCXPS(8tS4Kyw;_pB zhedqQcz+i?h-1}YI)LqaZ~mQcdExh-)AQZ^@=yJ9-f!#_+)G+nf6r`1AM&>BElj== z05|^=8vD8V-}wLPzrot4ntH$eAOBtd2}Z0}IZxrw&T`^)f^;J7tSRV_pHFRta))c@ zCo#kNWSUOkI}Z7c02v^8Z1Ae=*P=8Vc?ts5H5Q^fK``iUHf757=&(w@ef0}2_vYQZ zs{-Dg7Idy>kpJQ@(x3D0x0??L1?yZGS9N++rE`0SkHu*f%wF0d2;`#baCx4w!Rwl= z+!%lxc@Uo1Tsj0XX`TY~_h8DeZu~#}`p5s;zN}~WC$aZ!U^P6i#`H3Y%I5yRBisyD zw?FrX?*0$ly}EaT)!CEq?N*>{{=(0+`K$8(^*{Li58M|7zun5$bWZ?PE-`BOYPtH) zBn(b{9W$<{`gQKJg%pCS%x0Hx0)^VXjNkqf@OsuSoud{Q9Ah1uzxqG_!5_Kvw#@3H zt5FW2QVZ^qAW>xZ0F2d4xCpMv$dH!d=`}BSK64O8Ym5N$lh_c%!U%^-5-^UErVNEh2Yvrt4vP; z6rfH#Z&AW|8=a;+{515JyVNUxIJ?{Y%K!dbe=jaOSjb7H(>3FIj<8{eO{VbN#Oqrk8bBTrB#Z|3BaR?QWg2I`iU^9s{=r#=oWE<;?o# zKipzs-SXe^qubBVtko>%S2D#zOK>1X8F+ia6~ zv-zCyE0C_?R?I-$$b;~tqW#U!{O~V-+U2+J%Wpvd1t>HyZy%n> z2mn8c4M8l7aHu2!G_*>t1Ly6d@L@3kOs)`q%wI6t%^Ry7nb75p;RCh&=g>8 zFvl)S0n*AX{rkM;yZo_oq89i>c{6P)kP4iM0;l?US=4^n0gM|1{U?_l*Zxm=|B#g; zwkz>8rQxRRNuCO{RDeM4?|h69KwZOU@Jg=!<2r*+-;)_OTJFYOnqg!NLa=KjUYlK< z0O%#d;TmsP*}$x5-(`jKs$7x$W7lOTL6~cz1_Pj~LIju1jv%pu!|Y-ZMne;F0?1Ed zLl6ri94biwbq%|tm0b1n*7zUrdJWxrHm}94UZGBQaT4Oyl0*J`iCnUO`!P~_R-_&R zK&{JkFg&68e=dd)ucl>q4xhJvZ(|ZdHnk2oW(MTh8J%54FSa0lv7McuvGFs{333N1 zOW?mWH(gr6E7jY-`LD#b0uA+l>w5*N83oTq)*5K}wihmc)@s7wY1x~RGKZfjaR2PQ z!6_E#X{{AF$NO!wp1Ehn2kUjMln=gjQDhNRThIJqi*o z2A`_oD2)8!Z|fC>;Lry4GOJ8N3E?wGcSo%G`Esjy>(yly%xI;?7@$FwN%Mu^QsrQH z5F}P`IN7{Ka>8Lf!`}ctD+~XJg#)U6^LYIZU@$@-FmYNL{xb3jt!ZzI`@(DRtgPQqaV=jH75SU?R*^p;`zk~`1(roBbU({C!!a>|)W)A3w^HEtizIbZZS-aVUJ|8}kA zS>bh4G~Ttxj*_IhXsk_}7%gPoiQ|1KqGt9c90~|;23HN=%bhtt)YtUi6<%0P>syz6 z1+~N3^1R}Tfbu+Mt#ew7=X&%j@5LkZ;n_JI{1H^s?+0xxyV_mu~Op zYxP3N3^LKG6r5m*hIoD6`j3c=NAE!22QhZ;E!% zXP-`dG&!?(Bf=L%z70;4%#)QBxW0;~;523J`~THnna1T=Dp0M!o8R~Crz&pA)yXEn z@J>HL=SeECqreZsdCNQKeV*D&-=5_rCvK=G0a3Xr5t8k})xX6uLU5S~Pt-aN*}ny; z%`Q#?LQQ-3JO1tV_kT(vm+XUeJF!UX8NsR6WjYw18e@QTRR}IMEyL5lo(i60%`{hCP^@%3q}WFPBd>f;r({A`IXs)D{A|H3H^*+ zeg$W~5y7u=$@s16V1(2d12k4M;Uc&uBg0i-cn~C3aF{s=qcuhV`AKXDV$(bYez)R& z>%|}9<3oZo_H9;xEAF?;^ueuWZ^P{^Eft6rsJ-8g(-141{+-li4|mUs5*`LAF*$Tf z1#13ISBv_Z-EYGwc)Pi8(NN5}jUkCRa|QTsMC;qqs)Jk;1j^nA{zaHIhrSjQ6SdEluIWhu3mC|%DJT=Au>6#N< zYFdWpjP}6@_4t*J5I{q#Lu+=NPXS{x`Rc;KWczWQ;#)b}e|RVn{M_ zZ5=tr7LZ}*@=V%~vP&la3xd%?f4z*$(x)K&YnL&(qJ4<{4}Z6s>qwu=eq?puUt>Zu zYWsGVgXiHol32eAL%jJfzoX37feTS;!EEyFIvzgq<|YAZvtu@h6&!|o7$@U2!HH{M zdc841M=rIFf&2kpe4ogt@?iX`(l_MsMjjh{g$2IYV}xMPH;J$0LOR$i$-IvF$Pn~M z;T`3FSe&#l;gZ0yw~6D8M>+b0xC0K=I9wCRNMJqr^g5`^*4UyP$62oTq?!p=MGL~@ zOp`H>^-;+!D!6oi<|A>Dyj<@kAn zKrk5@g5YosZ;O)_2=FTzds<-6Kd^Nlx59b5dG~HpK-X6@`IvJvCYeJJrK0dwD|@UB>e^ zlBFU^M3MefU{3`OpSOD^On54=f&w{jR}iro1%cUKu1pp`RYg>CJuNS-bXilcp(9bHCN%ax4`%r2-Z67C(whO9fN~Ua6z)2P@_+-cj3_{PxKS zYdr=^*X9TBSm*rrD)EEOa&a_Dqf@?A|o1OwFK+PP4(HbLw{3JF6v1zG5Dv%1S zrU0+T^iqj%yG}bd1gQ!qcO?QW_4CregX6XbGbBMOkP0{o z5PX%J5)RFy3!7V&T=n~4cL(g>k`$!x`1I>W0Q8dKaE-+~*-a+EE7}LlHQ^utR4&uO z@PsC6FhHs*L~yBT86E_Q6&x1sg9$ky+)rXd5DOz5DoFr!?S1i9a@EhwqII6-WhIDNyzErB=z`E?#=O+8w(M-&;rIk)BY)Jlu@?s^RyN^l$aeYLAHV=AYJ5 zfm7b+^QR3huk4KbMcr?sI}^{^Uuyt=PN$VcUA4+P@Sf%U_UvvGEni49T#9}FeH{dp zz<-eY#Pepg-UuqFI}QvFUY>*})%{j-^)rgWJxKyb!RW9-c*s5&cx-lY5)f+1aD;~Y zA_HY786Y%5fRBs-FzZqsjF1{*fW~SjTm;u-WVi|p4}!!B4l@T~w8jV^KZy-NER1ld zBmp$EO0N2Odpn!Sj3Rmsqk77i5B%%EDp*PwET~H@n8Rv&KnK)S zAwX>QGuj8MsC0y&Dc3L={jLe|14N!O&UFok&CmI~y&r<`uWKY;n_Zj$jP(?pjA?P= z8H=;cycK;2nFpPUoL}wMwc~gym=O}1sKEf~st{Z@JA%Xt4nsYRlaUQ4t_e8-;3u&m zh+WuS@KCLkP4&%sla(E(0|xEpW>)tDcp>^ z3 zlMq}sJA%Xt4$Jnz5K8h0lGqT$qIes@J>m(1fzbS}3Go9&o-)pL4F_Rf*{>)Bhi0=& zC?R~Pr{H8ri|3(@^TkdwhlH#GAb`xeR0kuZ#uy;A;4Q&rvm;2X;4tXKI2qY+5*Y#D zC$S-j?b3Bm@{kIo0;xbMkP4&%sX!`_3Zw$5Kq`<5qynixy#fSi<)%axYbDpg`?vj` zOk5LyuK}A?CS#;Juy<8u^G&WG%G7zk~Tf`p5~H%T(vtu=n`fS@X~*(IDnfs*knm_;7r^}zGc zo=?gzgo|%xEij12IzmjL?KC5W_QR4+Ei9a=pBH>%0aS zs*O^H5(%-*I+7-+rAo(KQfWlTaJ zBb+0Dx0>rnpUZw^b&pFYMmVVL!{OVHubr1fL99MMd{*&(`A$%mS}=#a06cu;%}oNt zX2)z0D>$_1jX^w_a65h9F^brpcJcda`wU8n1)3ZjyWdNO^TgjeObw&|dgk!x9F z-Sq_SKX_Xd;57p^t4zjpM-fkK?{-VQr%#EDkY`C)Dixb_i2>JSb;AHWdkP5U^fLf9#cPh|G0qS_W zqq^b3@K3f&Fc4ZLSN~PM48BQ{*>3IA?@s8( z00E?OnGS}h#u%Wnnn@CZYcir~UVxf82%|Md0QpI52x4J`LnR5Ip;dC_{;jJd!K+GX zIvAcBV}LGw-qtjlm{cGYNCi@XR3H^d1yX@jAQf0kf&6*dT1tjI+YoUE06v2nvV>G% znF53`b;E_>nQWI}z%^`cRdO9?AB?1+Nef6@>tjpTveB7DhN!k^t%&ewa|nb)0$oS_;yy5M&o4 z9MqEGaP3~aP3CQpdI+h_x>N@vBsA+!;XF=8LcGm>_`LOdW2CpQ7t~Hm1yX@jAQiY^ z1^PGt^XY&Zmcq@r@kZUs`nUR4^dWD{-ooSwNCjS!0(rk(}nEv<;b+wwJ0QFp1pBqS9`1yX@jAQeaj zQi1bPAlJ8RT#K>>iN)~}*0=A;JR>xSX6)Uj5dvsvm0bNNCI+9nC-b!NV^DmW z0pKUGA&7+$4wWQ;x`r86$#tB0OH$CN>8vtw0-%=+hifd}$rUBQXG!J|z+4j!0zl<5 z9Sl!sq6Pz`t3q(8X&D{_i4`1X6Php@n%f&AT(4|M7baK&=>G`KlF&9WuiMaetoNhtuF1%-|HSYhNUY#6a}Y)& zD*_;Z{3JF6v8cmFaF2L`U?8+T3KA{`pRVB`O#c3Dfk=ZKcy4P9Z)6OBs`-}Sve^+N zR&W^VVVsO?IEjn^@RQgO#CGYrCwWK(Qh`(;6-WhAfmGmyDbRnodtqH=JE=e_kP4&% zsleGNK&Yg0Q=+QqO0MJV-=gAik7ibxI0=ZE1KYAy)@1({nF$B8F4e&ZsWApfSB2oR z+0SJkO!nX^DjgwcDm1@qLi_-cr;Kx5!(oHa`H2XEL$lc>ln_4DQ*bh-#Ytp2Z=YSk zNHF*b2UT;1$G%?Gw-eB$r2?ryDv%1K0;xbMaJCBM_dp%((rk~GDHEjvsX!`_3S5W+ zggPrXC8}DgAOt?mztL0L6BI%Vc0KZoQ!NZiHrd7lh_c% z!U%^-5CO9L%~sFoJ2+dsG2i8BbN#R zqJwl#@{kH#jsovvYNw?FsX!`_3LHlPMjC5PLHIxau0{x;u6;+mH?nRgpw#sV!!xFn z=d(pC0kISN3T!trayR=;1;qOHLvh=SP;oNi$9i_sV%)bcBz*xSY~dNir`>GmogK{y z=;w9m1;=tozaI*nRq)o6V+fknGdLKY$fUQLBn%$C%f}3ae0Ljvx(AmS;h?q;hi^Z=c3u(%v9p2+qoIBIPEeOxFo(PVJbdI$8v?{; z$7~QQIJD@EK|Gmqm?j0ILpnT%J)lZ_pU9{3;IGKx8}fJ~j}5-U0$=PgLNMr?#8+}5 z9c-3lUdMc72zsROjvqX0VZtSWV{a438;^4IeQfD8=Nby`(v1YxlWkO&t+7QpjIP8}BULF4^h^dOE^gK1T^DH%^sS3JYLq?Pse%vSUv zZ_D1o<|5F(RHN(I6qQtZp1GH%Z7XtDAALC`oRo1_0dAnhVG-@0wCIA9R)tuoO zxl{-cX{o@4Du5eCS}Jg93iNN6X4$w`pV;#Mi#*x|*d8s@3r~c#PFgCE3Zw#;t^i$n z0}JZU`}YFT&Mbtwdb*>!_Q81i8xGP7p&zwmI6`Z-5B3_V&AL9g4mi267`g1?1VAqt4%b+`ZT7)LLPBa)O4GscgeF=uK)U7xmztL0L6BI% zVYobulaUQ4uDQK2LPsvOj)9!;L`5(>6A}!#_P%&4xsEe$UrRxg7Lc~cWBBMuc&?TV zhimuZZ8C3*)I&&Z)}=ZaA))zyE`|_qvqMU;g2S?T>-WYWwyzh|PD=$+fm9$BxL^hP zH~;hLfEt#<&A9PK-OBp6`d0KIZ_D1oWh0seajJZoo%YT->!0LTHcdR{gQ0i z+hXzY8S|{HH9mthgQke&nO08u=8P878c{P zi3K@Gq2Q=$a9bC)Z0d>vov}2HDDe_pj4~9s>!EQmXY}j_|!}lUV zzR>n4NVph$exy@RyNKXlwPhu0(hZo|WNN-O8vHacM;i-gPhd z-j$ZUBbEoWy!iW6#ruF0n+l`?sX!`_3Zw#Ora-Q5*SH{M4HApvC9H4XlX*sH5Y5=T zO(O)*&?>q5MKgnM+(l7ioyx*uX6*P)%YxDVB}RCzr-di77q$V21wVO;PP7#KmlsuymfnH5bKo<>3~?>a5p{^XI&F|l$uGpH)Tcjl%R5?rs!&74nkgEBX;8N2vJO~mi zI4qmDh$I~RBsQcA@9O|hS}JfE3eaVgnN_9&FGqobx2d#LAQeajQh`(;6-WhAfmGm` z0#}5A%1sGCL#yOE&i*Z`Rd;;4Z5(kD5NgSAg!aPr!5ldlTAal`nA9O3ZV2_L7mneX zTyzXXRw}v9Xx{22M~!y=oVOc>5aTrIR0$3Q{~pt%06%OOZypn3C@mF81yX@jAQi9` zz#C0kDsZ+6mN2(_8$?)qXyTs!BLP{?Hg)KaTAjUKDzeHC; z^@34HWc;BZtb(_Y!()e(F!f?^=L!x%Z&qbH|KILo0>VFb854mXBb+0Dx0>rnpUZya zT?Ofc(2d$Y9KQYd+IdM7#OhbUXBF?4?*w(J1#`#?z{5x0+$2D3cFYE`f_lbNe5B`cAz9Ek{^4Q=jEbzr1BLsuKNqi+2(!pj)=5@?RhM-3Z z@A$#97A9O0IQBMiyzwYU-^Z3tbFQJ_F5O6AJ=sQe*&17v<2cLpo>Vj8a^x6-gvpsE zV;<|t*6nRb;?!XgA2i^0w?POuiBTH~$kF zpD_3G)H(4w?YfKSuPH`IDE3wmm0Z-54GR~>xgJY!;dQ#R+9}=I5)Rk+igp*Fydl5` zE_up0*VDq|+PlxzUTlBHn{?@t!YQj8;d1KI3$D5i$m(WXEY6e6M|Lzvdyh5sPKCrRe(soBUFgy7I@ zb_peft0lt`8rFLZl$~S_0X{MUz^qGkFhXjK0n!U5xNLR=i4`35l_)%s5deM?8-iFE z;ZR8eXlRvOId5Gh30_r7)4}l67z1?a^R}kZ#H0eLKq`<5ybTKE{}*|*tGqp0rc4wn zfK_5zDv%1K0;xbMkP0kOfDoi^xG+4E?Gg-xR>_t7V6Kt`uPUYKV0dbb0h(Ocafh7X zQqwX#xqsXJii{{R%0Y6XE4KUFG>^a8F$N(fH2n%;+gyxrP%ji5q0N7&pmH&Y#yUdQ z&F1R_kjiB`7@itqfW~SjNeHgV$n3`p0w_Su9E8ytBY^xQHUzP0sX!`_3Zw$5K%_v4 z0O?N!Qh`(;71&dO{CU})f-Q${mxpF#Dv%1q3J@Tbn-U=#_5mxojr$Eyh9@*pg8|Z2A-Mc2D1ZXg!hJBeHwLj@*^mwh7~$YoIzj+-?S1i9 zavf*hzLtV)9mGlU&`XBHwR`b4nYTqGB&1fQG#w02X#StVA;ha`86HR}R&W?5660jl z7$=_jy)i;ZF0~GiJbkG^Dv%1K0%xv3|K@)_RZ_!JxEVLzAX{1gR^N&~6)|{Qz9uT_E)|$62mys=aEXgNj17+J zek-~98O6vL?0f+F32?Km7Yv4Gvr8x;TrC-n(38cQ1HhX`w zEt`g!}xeno}F0H9x?{;h$4P)mj*v==sSw}g&W zIT)TZnztxtHN)QkJ}bkYoo;1sS`j~B;r<3KBa^lN(EAZR3H^d1yX^FRv_26Yh1pv28qS-64tlx z$vh)8h-U2FrV#>YXq8;``(RFGVKD&YKG-vv*j9z$@~@x(3Q)L5&9?#JiHrd7lh_c% z!U%^-5;htZ(iuNVWH{l>4R4&uO@PsC6FhII01ecnY;X#mC z!C~RNb$eqF>y-`ZfLPt|d*f$iuNzi#--^3n;(DD{} zv?ku#ME#<5{r+hoZ*suROgV+@e0`Ig|a*%2gG za2V=goQ!NZiHrd7lh}}M#`bl9CoL7Y3s#2N`hNs3D zpvi^B#V8mh9MrT7&$;a1N-2bT{7Od%prKWA<-B#3BzRRRO$WnMV+_zm&)fd>Q`2l> zQi1bOfHv>vygiRgkyY%W0PTTIXew}K3NY%?S35huI&nL!$^GiT^Tc(nFV7eRV>)@h zlVr!Sv$T7)A)t0fo`OK;+7Bhz{^b`5WrUCQOp?Ik*%wlJ0U&JQ8N?%nkNhvu6@*?e z>WGX#6oggq){|ohLWYS>gM;CTEa+{*rFZ$5f$)!A#w7GH!a4GHtGSN!x$H;5H70bU zw(qpryMBD_yd(-h`c>$|?SH;}XG8Fsb*T;YBc`$RsK2Y*El-;l=}d2H|%7WiV15rRSAB)*ah>0q-Y^E&1uL(n6Icl_X4 z3llC09DAEM-guOw?_*1+IoD8dmu@7mo@}GKY>h3-ah&CPPpX-4IdTj^!sJYoF^~0R z>-IJzaq6&$4;t_9q6cxT8ceIQP04tAy5bq`C9SN#XSSjbd0X}tCSM7FoBs)oPndgo z>YR9;cHPDE*Aycp6niU(N-pZjhJ_2`T#qHV@H#bDI{>K0SM5HRZQdQ1dIc-pD@F-= z3zIGZb4^w^41msMI;w61vbr(WrcjvWVWb^e07AVO3{Pl&Z$lCWkJ)~hBmt>FDv%1K z0;xbM@HQ(zXx?6~OqLi`I#qHVY5!JAp0qGoA&ZmHlUgzyp~9xYQQ3KhUA@hH|W*^iPdlcWNvKq`<5 zqyk4#fRIJqaA9~R+a(wXZQ%L@NPUxUfCzwrnk1R*uws97{#yx*Q3O>PzLA!I9MTq? zpfWMSVdm)4!V_8U-#)v7kznu>4yxu1PcRz*5hENbNdOJ4k}LObT_p)#RZ7#r@YEOs zq|Xk5OHIr0^!JK_=lU%Pp2!FQKZy-NY+5RCp$cHyr=2F zcHy>1%an;yfwxitLf4g>5}`oY+^Xa{&OR7PLHdr*;rq8N+->%6MM4y=OKCb7p3p=M z21r+h;PS7a018kG_rcuW7{q#ILpmT}go9t{2m#c!_r+Vub)0$oS_-mt5GTn)FBuNk z?#0_=-WHLNkXn_}bTB-j`F{$B5U-|Xcp#-%!C{z4jFVAgoOtH<#t0p`)H*!!^rZr+ zKq`<5oVf!1oB#P#NexTkX54s#Y-RmheJlEqw`Ff(@&u#;FG+#C->z~sTi%oMeydy} ze8xO0YxzQ=R-Kks#NciDny9F|RA8zg1QeRVB`)&NH#o3=QD-sNm`(^8q`}UIT^WKy zv)Lt-5U!RCM`%sq5J0XKl*KiQ#RnA+8G{}MHw#M*A#sH|AZwW4&{fy=<3SG_cH-OK|@Mou6*_&3x z512Tu41XEq>-s!dY2=S8q}iHDMLf(ux?o>t67^D=m9RTy_8!l4+?xDv%1K z0;xbMaLEef`gV=0SJoi0I9|m1R^}O@K{R9UHjNNKL#yPf-v@Il3yT3j-SO$RmBdLv zs3pS@TJGO&7$S`thl&Y+08%w)ct$Q20tAe3P!SBzgaiYwVKP>79cSK>6f|jJ^6C^P z0D8%AxW?jbGjBygLTXh?)4}kBCTcK1x+(;hnwH@~kXXS1@2&Ip;c?CFjS;F>Hl%aO zmpbu#<7Z{B8&-4Qio0Oqv@-nlo)cQr-cHz8rcMP?fm9$Bs8OI_wAMh6gQ13LxEXiL zbwa;HZB~0k+_JYQcluL-7o|YnZ&$gTE$>M$<$g<08bVEWh~^s{Ra#=RqLQnh;w%x- z&WBwYf?Y$Xy{{rpLbzHo9Ilagb)D>j5ffSw1Oa8%r8*cPp-CtMq^m-3+3fwKFF*|& zQ;d_54JV!zaS{N25*vb8q_Yv+Bc31_2yKsogp0v9cCE(d@81@PG|Uq`S9M}|YK#F= zHQy3kHamjE3Jya(jFXWKCy@~Vei9pk*e+f7BoC=TDv%1K0;xbMkP4&%sX!`_3Zw$5 zKq`<5)GI)MR&GjEu~u>&Xa5!zk9#z;%EU=P%pBO3t+FQjx5!L5n02WRMo5h@K)Naf zm(6}I`(UyMS5fH*K~tgmT@&I5h&*MS>lzLlgw9Vy5FDD#E}?|*p`L=1F)dCa%X$0k z3Pys#PdKQWGd%Y7s=l3oCM^|61yX@jAQeajQh~EoAioFdXqRStv`m>O6-WhAfmGl^ z6d=@DxhYZAQYBaJ-@0lNysDI@gW;($21ws+BDmDF3=e|D3J$}5A>(9Z!%1WWfS<&M zAQnbARFVK1S|wM`TUSYfSC!IqFg!KJ0F5(kl7!%zjLfE|018ku2Vu0v2p~U+4MFVi z`stqMAr-h31^WB`rIed>rUI!zDsa&XFsj#AJF_KB*ywlaxu_?bB@xHr zqMpP4=ZE65e~Cbxj99&Wcs%<;N-qFtEqE(9j}$)gzeHCMdcmk8GX78yR>50Ojv)vc z)QiFJL>BZmBw_IAT|Qv#_O2gaJ1>a> zkbV{VaQmMx-`NnnW?ia-5fa+NN8a2d#M|tc4Ppg{7QHcuCsPj7q+oPNhv%>dREh5s z`BWbK6*+uE9&hBa!B<$|i#&63RPn2!uWj}+eVgJ&&FxFm4wZQ^+2 zQI5WkEuH3EL&06Tk-&Pgjq0*BwkXGOmg_yKX2RvjF$4*dGfl=k)|0K<+mOVm!y-Ot zyuXVc#Ib5Ht;#kf-;zCp11`?&Ya-;&s|}7tdc) z^pMiw-NRPARa~15bwoGg!i90J#}Zt4otmp1090cMyAuz?J7YH;1@O?`eYW;uM9h*D zj1J2)#z|u>!9a>sWArV(&ueWqlrlcQh`(;6?hvI$p0_$XjgfAv`m+t zh%UW>tUnb<1yX@n0g54sNCnPL0qQF1j_QUB!#~+B!9Zx0T)7YCDoOCFQko8ir^Xnd z$%P&F%n2?vEyIH#v4TVH-x?YY!sO2#Ci4Vy#-3U5M#cbK`n-*rOn)kn3Y>!i_}Oe) zDv%1K0_Uv&R-9?6Kq^q9K>oa}Mx|9S+f`T^lW=4Hq)!FfDL|mM_f;m_C1DlV2dv~e z&i*Y)L6a87oyvaA1AtyK9Iml=+x-5mNJvPnN@+S6p3p=M21r+h;8N2vJO~miI1JmA zjFXWKC$71@F+xW!wT^+D@I*y0JQETOxc0tyE4hv{Z(mD6lNMH-xA(%`WZo9{+DcYO zV|ZQj{}c`(*1v)RC_pWnw|;L7V*7gKP7w4-O9hUv03APNW_+m%^l$zLw^#9oz*R}8 z3bhQx%fc$6@J8Lr@Gg8xYuVc=`^wy@z|j?0>wddh;j|jloQUT`D~+_gC*}QCxk&ho zc~;i)g+#47Ev<;b+wwJ0QFp1p1Q6={-4V@9c8K)-oh~3cRC4t*ia{6beAtymwRC=) zUEBnSS~47=={ng3Q^?o@I-psX>fl=L4ydbQryYYNOOeOIeK1544t5KAWy7{xAHEj} z@`biXLBhr0^DBL2PXh#pX0uBuAzUpPj?iA%yxkHyR^?!LAf;HrVVFpalTl-wL`DGk zNo)vWS2z3(;IlIP+38mHrWNr6u4ya7Uq(KmHSKM2Uw93kmG!HU?$y0^VYD{kz*mMR zE&gr!ny9F^X>S$z6Osy~0-6G>4dz%XkP4&%sX(W|*{*L}Tpwyd3eM7s7`!iHeJk^f zhGy*DrV#>YXb`4?tN+Br;2U>Q)L4fN!J*mg5=scy)4~(k3)=^Ceu>(w_K3J;Z&B{_rvfiZfxO?YayeVxlU~aG zmY_6*n(PqGH#n-a#AZb$S3kvBBBGrSyD|j3hERK7MVy3iwPZM4Bk}4w*##pev?2%s z%B)LuFhW9;PzFd>h2XN;`$=Db8aAdFCnFn9JS*ZP0Q@921hGhGBe+LAK`;>79t8;( zgKz9wjm_V`Ef8s#CwQ*v#PHM@1EgxcCAe&M1c?!Bl&E5@8%eVuf*q2c!uUddB2bwLD&Yd;jP{YwPmWQ1$&W8Akdr1S!a)q?du zoBFhy4f$W9E8%*{+QUcQ+$6-? z?3fK=1&0>BF^DHq4%4JybV!Hium@C$?-Th{9{d$Kd_x{@#Hqs~K4`qZiyp+WYA~(JHYMZf>56B#m$b6}p4o~% z41msMI;w61vbvd8GfBeYHPQ|( z0HIzCh9@+?w;>6GXHwaC?ZXTSNCnPN0Y1Z;vZPcX6-WhMt^$PC?B&X2iBY9OC0D=m zz~G!D8P_qxQfI!Z9fJ@Yn$0eugmATFI6||R&IPeU3yhPo4xB_r0GM^D4n|0gF+gKA z6E1>lGBRsH00pR-gD_fS1dyM^h9DM3I8>4V8d@b+&RbVWf>)K&bTB+M#sFRVysc?8 zF{wZ*kP4&%Z-WB)|3x0{DsPXLDHF9)fLakJZz_-qynPDL+t+gUDf%sJ`$e_$G901h{_Tb#(l|{*#RNbAshTr9BbN#R0!BEf z2!>}uf`QN~xlS@~pZ#Wz^g`%IEg6o`a^9Y5-iDVZ0jWSLkP4&%sX!`_3Zw$5Kq|1J z0{Qc@6~*+aw!Zs3ynG&IWEH8v0tE;$>P8U5v)?`#Hn%Fdj%qAei9pkSQz0@ zNdl;A?~AvR>p1iFwG^aZA;>O9IH)DV;o7};o6OrH^$=2lO(g(&#T$U7=+-^ zY<3AHgsUaP5!wrzw_8HTsvHatq!cSSoNV4A`O1dB0en`5KReyZ-n1fqz{F`~_{+#A zw6%I$S0cOy&&vAMNRyhV39FEnR>a_4_k!15$xhAQeajQh`(;6*#2=xxQUP z{jvs$#qko>x9`b3BQ%I+?A@jj0%&NJT>U2|2A{oC)&1t0U0GO+&n{j9LM<7N&|cU+ zm?H;6i!<5>Bh;f_IEH63r7++cX4s4iUr@*hn{XXx-qKFmGOJ9S0L)A7UM}!>#^P)< zZ>3N|=2^i*U8;i-5}I5D255FM3PuSBn;k)71qZyh&fAB_H6bSe{3JF6v8x+?Z~Uz6 zb;D}zTX7d$(^e+GH-1WM+S@4`%iO6zDsUPF&hF#sX=J#Zto@?(ddSo?a z4{W(k=$EMbmb4naWpAq$nMA3;B`EL$?ziZwD=mV2cHT9)JUkxYT@!BP=L=yC4CI zDN-Ep3XI?aONkf|6e%%W@)rkGQ+tlL@A@2QgC-uMo|?p?Y0r*Vktm54=!qwOeq++ zSVe`RX^LSgMmd{R5J9yXS!O}e;AE0GY!t$1OOhD~M)e?N6MV^|Y@xHolL-S&c}7Bp zrQrD-F2uz9ZxbXfbZ}ng7;Q@w1DEr?pem!|WRf^6`6w3|jf<8D2K69i6MUy|(@7kH zfnXpQ2nK?IU?3O>27-ZLAQ%V+f`MQl80cp}FzswgbfI=)U8a9a#<@qc$;_03#YVBb zUl3(ghV*ZVC>)A;xeCRlM-(HU6+u--zmYyzyl=d*KA6R-#Jb%n1m$Nzm91GR{H#AY z$|m@R1Ovf9Fc1s`1HnKraNi7^^?RWBt9nR@fqh94BNe4 zTV+zvx>Obwil#>tqq|`lULgC&fb94XRf$NhdY^5pagQ91r*&hwiH-dUBp#xh66`JjAx`Zr88HTl(2PZ%7P zuv-V&n&49tseg&CxgI2Z^dGG?Ty)CEwUrXyZ|FEeU*2%^#z6QDCD~(*#z0LPwUshE z2=|CC{3xffBIwbha@3!?u`cv`*&mHL7OHW}uar5f{(QSUC2B#oZ@pT!p ztW8`D9=@-wO~Is$&T2489Q+lArYhCi6xY>~+dMfI@CUNw`$T@Rhkjv)AMEkT9y`9m zf-m;SCJffa{5!D-hirmu^Vp6oPLB|^<9A0Ttgy^6*S1o8szGMm&n2F=SWCiFxC~G_ z*|+j4b6kSV<7)H0%4UUCp<{6}q{=eMaY`rqYHdr9N**QP7md$%(HCKy)mhGpwg%Jn zi952kX7Do^@LF?4(JRJ2J#;L*iMwg?>U#<^`DWU7G|AqZMHTCf zVet#nZYTCY{CyJ7%DlG`>{cU-6N;*+xxF(B#VUtgu!@R=c~icgwt8P4m!LbrbqSKV zSQ1t)nqs>f#b}doV${S}blnDQceBoBg{5_Ls6>XAMt>Odi!yPPYU@_a476=D?<3HbR?Re_8G4%}cM7 z1i`c^omjDMt4s=7m&&3-(e#L7lvmm+h@e`HY?h}PB&;?HVYEjCqk53C3BDo0z@0L{ zvJVLcf`NsBoB5+$JT;doiJ!qhFc1vX8Hm4^)%iK2c7H~!VNf~@Ie>$K(F_OyI-3&B zTxf1}VqK;WCYF%j@u7`lA{^Y5QB*}uJLY|imX8p+n3t-K{sH{*X>8c9d!d=qzMZO!0e5DdH~2I78umf0M6Pm24kGez?+>5k?~LnEI^GODID3K-mx&xww_ zQ-@8%L?-=oq!^|+*`v_l=&rXDYhF=G#75_%oEgqdDWjXK;O?f3qA5fAU_=!T#k^dF z;?g6Ekx9*5eK0(QLp?~@WOjcR2=SWojD!qJ!Sgv>hyQTJcCWf%s?=5Iaf3p z%Qe9Q5e}|}qFI43P!(2KC)P{X?UY$H8I)p=RSB!-z--{BY|6T*srm9nepZ5Pmp1lQ zF$x6(m&&3-(KN-_P>g(51eF_B(col~IPltf?%EV!KeHtq7H1dUH-1y{VPG~NM`0%x z&M2|>jbBrS)^^RpB6cuv4F=LRf?hx7$Xn#~t9$Jk%$wHpmnjCRC#((pMv0CBo;H}H zz~?RMDEKDs36t2wyj_E9vwgeFL}q7TVA%ck)ZFC2@!ZdJJ-|Ni>A5pK%8rfmWM)dieWRfKrifYiJe3p1rzy!S z1gm0Ru0nBXin%IA3oGj&f~t%@FZzVl(wI^%G8z{(Nv0GG>OsmT_#(og69m(ybYk_d z+j#$Ng7jsba9-vZZA%n`ywVP__JZngB!^WrFJHHy2#0!*vI)K+!9Xw&327-ZGWk5)*vnkP4Lnl^$AFR?@?n=n*_)PsBa~B}o zlumwPL*q)!`!eexAAdq zN*Uc;1@|SN#6=FvMN4Ac9?f8jF!aK~W8cui0^?~^D*#hKM*^hgMnZm7zhULk^v#>&Zb0Hft^^#$jMKk}Zk~m-bg~~-n1Yblrbb?^oluoR(tlJr+o>S&@lbQJmz8gh% zqcHlnJB7i_F&8Zn3|!6?O~!Ifum}kTf`MQl7zhS}fncD@fTFGMDa_=X$pq7?{Ak)H z)`5wc`}_NYz0LcbV0AE(o$#&8Z>BD9LQSdo`zfQT$*-1F!k}5gkx2~{oz%ZX*DQ_` ze#)bTt$d_eDdByMj>U-x-ef%bw&FKxTY@M!$5rkUqmLr|D5tSWE3LT4+ zAyt-1j#E0>S8H2>RPrbRzi7OxG4-Md&vce&+CRr!pJV(iTka*JWWHyPf^XuEtgRXR zOa|QiuPA!O*r$h%g*S0GO+8!#nfOSTchx8<#`H(Qjds>6@Kw z|MOwSDF5JPaT}KBe0zgkMx8ZZ=e<{|1W8!0s+`@+KWulSXpgDfB}PqrMb~Y>b~o#6 zR#;j`he~82FmdtL(#jk&P?dUZE1p)F<(+O7J(R(~jWVD&npdP13SuiT*t4kc7QRZP)9;Qv{ z#ENxWWm3?(R2CJArbiT`)x27-ZLAQ%V+f`MS* z#SHv~z4gsY{JrdAQ?$QWqzDuYe3lFdRo6Qo*#y(7&`;^ax=bHTEFr(+Gr!be;HQkD zDr(y8n`=ADucD$f44Hp!-+WwDD4M1i8;X(7ilC~OUG*+7w_vvn3oBh;XQ9 znoTgR%D1NN#Hy~_zY!&8n!Ah3h@z&vrhG7qG7qK{Al#HuROO>-hpgLV`3RwldASP3 zr787~!V&z-=riXDtIcExqb;e|rnr~q3${aofnXpQ2nOz$f%(P%b}Ep8spv3lUZ_V& z*Y;{uaKjy0+rYE~IvBV;2I78umN^-DPkI&i+jAGe@0d3wBcDhzs?szH7~GN1iH^Ke zhfUkW)~#SgF-&o?hu`2x-SxJyaySd7MC9osoVdP~%Heju7bOpGK!|WvUR%`Dt75mG`F*E$>w~*X8_-n@UhdQ~Dnv>z$8mf@xDavF6{H6ufe$YTDLD9}JR~wjk$aj?uP6G4MGTRAuxV>VtJ;noTIG zDy*=2)q?+GVyDWr&taaZ7pz;UqMH(foKNB+hvlLsYRWPFTjNKFyzrE`ILymcC{|4| zt`#Gnb3s)`$H^pdSn^RWG8z|EF>t}49;9r7@7aa-jo*}f7?{n+QP_z&9VPa@@oUP^ z+OAnx#1006fnXpQ=wN`I=uL?X%tnV{kK8BBThw8>w}401)`}haVBl3T5ck`&Oy|gZ z(rdZj3QR*(x!Z(E-|vC+M%q}}#H`gGPhAw(w~`soRiUWdquHRFQgGiWy50?e>ySQJ zGGM^VdASKIE=@64#mHwxP?gbfGD#dx>x1Dc_Gknto8XHGhkB;j1kbSgYz=SXj`HfxSa0=RT=%|>lS?BP!Ccz!8arr2nK?IU?3O>27-ZLAQ%V+ zf`MQl7zhS}fm0X|oI0BlUHF|?{e7^P^l!=7?f6V>B~uC(ZptW{GNgY?RN+v}%T*{Y zJ)#)-tO%+y`VIBLIx@{B6xEb^)q?+GVyDWr&tYy@mj5Dxb5qLb<|?=^`6MoKST0%; z>-J~{TZEw(4ld`4raWI=e+~j7Bp3(=f`MQl7zhS}ftzL^z6a`J)3d)=qzDuY1Ovf9 zFmNXf2zhokCAv!L#ESlHl}$nGQdv|enjTS%{BDz=a>FVboJVO~ynebhbn=a5+~r z<@xHK7GOexfnXpQ2nK?IVBqs*Kq<2c4Em4W(RpZq6W|tin2liP zmXD$?f4;3eB@({=EYwj=`}eD!eSdGYiFP&g^Z*I#L`3 z!KEr+SGRg@!deAfl(xH>41Fri%2#yV25fgz;k**|kn>@+EkP=I%=z1okYFGf2nK?I zU?3O>20R9Y!SZ%xwUP4tH)EYx7wX?ydaDxho_XF23i-P!qiD+U*13SC0OdTIsAeD- z74vcxic60uMmd`mmY`aVY&M)`kg(b)gwY-mjOszkCio)4p%VntrgUP(x~(!PXk99c z3PsZ+iqXBV+nz$hCKw0?f`MS*b6_C;FY;ouyuVna2owx_ehjcV4+#eDje*Z@-F|ij z-`k4p&R4K>8!n1w)h=P6DV4UwE{_Sq3%;9QcGb@6sK7(eEu!{a|fy0HEr}x(^ zBMNWbx6aKB{S9}pOoW3wQ7D=ce|I=~7Bm?%1Hs7UT+wXiD>1svJ?A08Krj#t1Ovf9 zFc1s`1Hr(nVqpH|IGH34OJhp8$Y@+trCOWf znz7tG7I5LjwNNxG5C*F9t!X>4F0*bwSVF532%GFN(QZG4b2nuaRrzSzA?r3-K0@eX zUamrMX-fU0a0LG{I#ik@4yUc#dTk1DdA?vfBp3(=f`MS*jv1I={BNfM8JLO=!{&v0 zl*}*nqu`skBWr604})OfH8BwP+q2B($a~VOxZj?;2!6-BDH-`hl2MhWQNZAid`@)a zojPn9CNi}g?AYpjWD`uA0x_#@Q@K}UmI@wEAK}FHt)Su4lrp-x3hsVbG%YFDmT*0- zSA?f}Z3?iT*%EH1rb5sb1k|R~s}}qh6FXI|>zTeU zKMQbfN*Uc;1@|SN#KjXqTs7pCt=qj&uSimP7zhS}fnXpQ z2nK?I+hrj3x96CfbPkXb#%tN%3I%pHB}!bZ#yhd*-5TEN(^#7iHjVTi<+n@ z$MkQFA0hI>Q{v(DMM?!W?>OK7zhS}fncD6f%%uM4$BLf$iO%{41450Vcwz+ z%e@6WvbI+2&<6vrih;P_o@F{m-jiO-{Z?QaqRQQ-Y=UW3=#6w@%}ZRt%Uu-Lw~`so zRUt|l-IRj69~Mnb#FRt&V99_1FX!bZthhAAToogq6+u--$H^pdIIRzctJtFvq-=sO zA{^?OW)n=C(uvi-ZsYy83DTE!!g-lvv@KB#+;zJbRAuy+uUqhiLp?~@1mBQgAQ%V+ zf`MQl7zhS}fnea3F~IgXBp3(=f`MS*0t^T-I-3$*>2zZC_rYG$za?Y0<1@9DOet8n zDWho0kp3-Eg+nnfSE0D{h+^ckBB;vfH`E8~$TXYKLTpOCYQcXou~X&R=P)-cS>g%1 zWkk7{Ipnl1Wpwise3yI@7fTS%n-c5xXa<{%nSo&Ba;|8~^99=>!9Xw&3R`hSHYzkVJ%A!Ki^oU~Q zcbf#28&=WaWRf^6{X*p;qjAv^!Jra(`@5Z^ zEzD$zbyVKgwyWTpv9u zNByZA>q5Vm{ZXoZo@1dJxBN<(v+B>cm8V3)$e)GTz5L_%uXcjAn3tqu`sk zBWr60Ka;`yVtppGXT#{TJ#N7v7Tz=q)8y6n6xpOXZF{rm#F81z-zV{`%zK;3^o?yM z=aFx0bCssO<&07O!OP-1Q!6H$%!-PHc~icwZuM3rtg37R+wMj&+9aG9HSrZ)w*lMT z6!xYhtoEp&LnSh-wiQo)mAlFG>*YQFc1s`1HnKr@cA(ylw97fthN|k>~vzy zod*ST6(sL!m#xmSt97M1z`ZdL>-OGeEb^Th5PrH17e%vb zmoU(jPORvIRhbmDE|o=vqUjOEXf?69XD+DRu!?5B&n3>Ie_P;iAtwIruv#aqnexg) z+Y-g#-q&rb$j}D^!9Xw&3}vNMNK>A{kN8n5W1L`t595;Vr(czJ}ZK% zjE<8@;;^(Sm5YqVMO6%3FsKJ9o8XHGhfWYotMaXBJFzaaZa-K;nbS>XrWAYlDWj;$ zN7D{jx5*L}LT?g2!@nigKMJQDR!QjP>$YB74kAP=mX9(d7zhS}fneYc8JJ)EZzppZ zn2HX=<^^(;%rEt$;G4K3YikC-j)7h$Y(#za48;BREK@x4o)q_6XNuFbD|^f)M3+QB3MxjQ=IHkXv}rj+le)=C?#UKQ#Cby%9-KZlrp-x3hr*o zD4H^)4@OksP|V9!C@wvs82PLSsxta~vrbr@*uOPT!Mz@&Y=SQ$96CWTZAvFr|GIr2 z>lV_Nb;5a>W3(+%4BU0Q7gS~R8(Oy{dN$!RfNx6p*y&O7X%z4S3ulz@$;elfp|zb{ zSL|<3rtZKo9h{&2bmViQBiW&~b;J*oVBnezT>0sD&C(-wFc1s`19!|o>~GI8dFdP= zC5$V-z@Du(p+Jf$cbl>arcLR@>hFV9GHWJ-f!pz!+DfJrEZme)G$s1CJB6goag#AK z5R6>T6-~x+O?Hm~p9KPHRalH}G{tR`kc~|WdrxR3kC&`lG2~6yWP@i|w`wS3)~!(} z2JTZ?R4AIJ7#oU_&x)X`&!8D3td@SVa*@%vs7kdq#WiEOc~rqqRr0>^o01O$v-vm* zJ27FSgnPslWoT_ztSdqX1Hr&E8JOM;@l2mEe+3N8zii!3B{DD-9fmz}pTO?%nliGs zYt|L9gMo`P5ck`&P(JdW^jhw>0@D!1noIU5G&s7rq&L!uH7{``Vx#j>&J5?O;95pE zrQq(SjG`)H+97?gWWa!z^KuhbT$*C8ijmKXpem!|WRf_X)(68??9m8PHo+GW4)sj4 z38qcy#OhzS@&4Nc>B~Cdyv#A$mM8}9y4?$^GWyHcE%?Hr9;9qCy8{st4BQa|a!0vF zs=+`o5DWwZ!9Xw&3X!GRx)=1!c7@P zQ-<_!i7Fh5dASP3rAHJapA|t>M!$(Z7%A2WQq-&R7-&kpYQcXou~X%G6=d^QhFG@= zk}lD|-3gJF=}BBHVU>#;TDPPV5)1?b!9Xw&36^f=u6eGX)D5%`9ispv;U_w6iOtT55P3gpn zbz5ap(7IF>6^f=u6r+1zw>^c1O)wA)1Ovf9FmNIRdZJ&r-3kNK4Ennr?{Cxfw0|^p zCzi}eZ+EHw?}hJolWB3YH{#SEJ_LQYjiA5L>N}v5=4pOSBYj<5?ku3F%b#y6Pl<%DKMQqK)BgTdBQG~$ZQ^3^@O^D<3MOTAX3!*Y7*U%7yjdoR z(Ip(`$OBpOeImcuL%*=Y5BB(Ej~!oO!54dE69(&I{+(EaLpDLSd2B}(r$>m|@w=lE zR#@hkYg;Kk)gZI(=Mqm_tR>+oTm~qe>|1%2IW9rwakcqgWwXMn(6KlfQe~OsIHi+) zwYDWlC65yDi^k`>=!-DU>MUnPTZ8HP@{Cv9OGe3j&m0Bc#2r~%Gx(VdxcOgE^op@h z4;>3{;%=I}`ko@2G|AqZMJJZbV9Ulux%Pbp70=Vv?(`j_E#YMmL&fj_T_&s}#h2?K zxK!oq>Q>K9SgU}G(snnK;X%R&#haC{=(-Kq?j~;*w?VceF6YB)>sSrD-Cw#2wK_wB zfnXpQ2nK?IVBoW4K&ZOBU0H3Ux(MpTnmZ2)<|@c`KeZXjPB=HEjBc)iyPGnKrg&TD zgPo|qTWg}4fnZe3%T*{YJ)#)-tO%+yI!-2u!-(1xU_Y}Z92SUhsArl@Fl|aFR;=49 zlY-WzvZzorJ)#)-t3yz^VHM2{ty|Iw2?m0JU?3O>26nsnzsQTNg8juJMWA5d^J8G% zXMcW$N5yx|K&;!lp1#jHd7=1v=Ode7+LTVL=z~?66tpgtMTMg25yj}<`(Q#5EBNe4TV+zvx>Obwil#>tqt(Rbzw8JqH>{$WU!N1_%O3)ii;TuaO9X>@kg^HB zA;CZ}5DWwZ!9Xw&3W!CKnOUR!gXg3iKZptXC^3k+I)@`zUgwVyjT!rG&l=?^E z2>xaCm#^D;ZA$p^d@WB~tU`i;U?3O>2JVo7`NjWsGM9m==rC+vs7J~CQa=j5i952k zX7Dfw23`{balbvwY>vDq#r@WqqWPC}M{}j2kxwKURZ|)T4DQJ1L`UAK!=}qbu%Z~I zIN77nnCq^$6Kh^kO2kIzqnsJeO(~27-ZL;CT$h{`Pr%`PRuFKF{cD+20Cu)H@&91kie?4EKvh_bomiJyw_*vc zN?7%RO^Jb@GK#9GX~(QvqfiL#Qdv|enx+^VijmKXpmM`18k|fLhozsaTx2vZs#2{@ zam`q69#!yDmAr5KrsTuGY(9>{PE6P+vGt_7L19Bq5seV1-w8!Wqn~_h+d5d~dUgvNcS=%}CJ1@qy**;Je43KXLa-|4Gu6+)3!?N7Q$GItG zbaNHlmwXZzIV=|~iFJE4gDt|)3kR2TMN^(H*bWH>f`MQl7zhS}fnebN8Hn$Jy4W1< zFBT~R1p~oAFc1vf2?Ii&olS|Zk~*=Xe_Lf!(7IF>6^f=u6eGXeB&gi5iUuc>#9`?d zDi;}zi)%-tUe_ zo}4hP%5SDEzlp$dC-RFu z^b0%uV2@As*zpw>e6dG1VX!Xd--$&yWD{hY$980KdW5JQzdI^ng=LPpww2;j4KnL~ zF7dR*S`wbZWq{JjzLi&*;}T>ZSDWuuHY=X;@+bknXnekl zz6j&2&T>|?HJGk1&v?bXWR%SJ%u(=7+>y04gP+M@ez86i+OuKw*&esx5DRabg=zBY zdx~t*oVLALl(59v4Vt;XPvTjb_cme|yEi*|8hLAbtapsIgqKAO6~j$tMMc8ADPLE& zdaDvvRW^ZbccU0>5>AYo_=>LEfbDK7oNFC@;e1$aE1vu+=ln@DBpA3G2J~(+jYNZi zU?3QH4GaiHl(#FZEk;+pomdy@-&)G667rsT-U|vfxhbP)N_p#?@b!0VO;j@wjEZ@= z3dN;I6eFJ%K~+Y_$s}6^f=u6eE9i z2r4(MqPd}UOFALJKrj#t1OvgqZWsR-d9hWnzgVOQ6byWR49xrN&#&;P_+stLEd^*-UAK7GbXdODSq7POjGIPFaIG^F|0Txw>%q>eeS&0v!;GZ2hi&J|60zLuvgRw2PaFc1s`1HnKr5DWwZ!9Xx@Ne1HYWfx<6 zf3ZjrC>Z!G84#-OY)Ui-zD7R5<9_Q*(flSW zM{}j2kxwKURZ|)T4DQJ1L`UAK!=}qbu%Z~IIN77nnCq^$6Kh^kO2kIzqnsJeO(~ zM=%f!1OqQ+AojN}Wf1>#4v-SYE7{+^5elq#KC%g>P3gqy?}Jr3%gtmka63Lzf5()9 zg_|;prbPdCr;wC6ZZc*Df|1L)qRCjU$?h@Wvp_(t3X9Q=rnqeqvN2zgOp`l@FIl%@ zIGeD^2G6i=)lkN)Tcc16+^4drP&7?3HWVYD6+u;>K{H5L@!GmAA5B%NwJENCW=ps# z_^C?XH-1y{VPG~NM`0%x&M2|>jbBrS)^^RpB6ctk4BQ9u4Qyn3hr*oD5@f+-8O<;ECnd%!9`7yDFp);tEf;kO)*TxC}*<@BB)j) zOaDpH;AE0GY!t$1OOhD~M)e?N6MPZj&%4=arFmP`S@B$SQ3Q#9RG^Jj(;J=vIsdBvvviU1RtlI=hm+0T_ghObwil#>tBfs}3sNArM<|g`JB(mEHhkB;j1kcC(e>JaXW7^;BVQ+qUt5|NTv@u_iOw*KKE%k)eK?zQftqDFg zk@}bDn(L;L1Ri;`ME`0QrG)o2Iu<7)xI1q}(~?GQOArOeukta1@S~i@%JtEsa@3!? zu`cv`*&n6q=Q$Rtam%liIjjDBJLdm+cfWtNd8$6GwPM2B&e$v-zOSuK0mG$3(Xbj! z5(j^Up{Yu>HpO+dF9e%LKCwuJp3Jbp2BbzW-7xVALA{?>_ zvdv>VvN%0L)Q;aBm9WAx$6VV=@u>!xbw8JQ+F~sUPvJ5^>15x^tITl;GLNgx_bQte zR)vnm$&f0`B*!V8?5njcK`MEafL}B|-$h@9aaLzJE7}@N*OzC!;$AXJ=6mKS_$Kbi z+M2=7WH7&2p9$^RF#2qdTX2YlH_gH{dG$R-Hfc`V-Yhz?WCrv0NpE*NEA!rFGF^=< zPBTXN2QQ27Os$xFxl23YWWlmi<=RgfO;x_$y-64>*(ett);DVt%&KC$8^yrGnjC!v zc{Ig#H|uOxSc?B@B+tT9B^C>TiHk*{Tr{O#+X~CiW4HUuzHWO84Vz#f7zhS}fzN?~_`k@D&GPwkb7r<&3Q;LaBmF6 zy1lm|o9xeD$} zK8cGZ2d#KB=-*aZ6tpgtMTMg25yi+~ z9fHaYt7vdCNgS5vw{nruxM+!BP!Ccz!8arr2nK?IU?3Q%F(5T%=z@V@AQ-qs2H0EQ zyu{zjE;dE`i$!`tAbo*vxCR5)Wk3ku*_3EzN^`3d%j<(B*Y_p;Td{<4k7k2zN(}sz zQB*}uJEnhYi3*`N2`>#ySE0Bx#n@1cd{zWi8678+#Nos~n1KuK^&n*vd=cT$34&== z_+7RjQ;X< zTdz$CU!Je!X^T}zFc1s`1Hr%@GBCgR-%jQ-Fclq!%?tG?nP2Kh!8dV7*47Lj2Eo8< zVj%9fXPM2B_oP>Gzdd&mH}`jpkxwK!%Nlh+k~4O;p^?vtjwH`eo9w=|bt?p;7^XPc zqtKY^uD26wUQtR!o<72f>s!eTpQe=2%~f#s!=h=)kUkheg+nnfSE0D{h+^ckBB;vf zIGH34+@rSTqiKm?P!CcznW@>8E!5E0_ImX4sOaQnzEfZ7fS)kc{EYYKrnK#iV8*3BZ^VZ<}k=!P#uosSx_`H=ZW)W zdX$Td#zjj6gL;s%3BG3+J_C4+Q0583$4-xuPosbzPAs-7A3hoRiZZmelk1vqVki5b z-7)sJ$CQqIP8`Q_u9GtsL%~2W5DWwZ!9Xw&4BRdQvA;dX+@y1WlrUb){#GcEVxoV$ zOK`FDcQ|j#E9-;pgo^8{!3+{s3s1_$<@;bl*m|bf1k209p+H?19(7c!B7ada5= z$bG`RMIDxV3wUI0t=ORt23{2dalbvwbdJ0yy_Wl}z%)d$=8`=M4UR4@X;yS%%}ZQ~ z*ywzeGsC$mxR%jPDY&~Sqo|6Qc1RyA88G1GyxfEpm!_DjV&t27-ZLAQ%V+f`MS* z6b1yR&Za~cekWFcAFR?@?n=n*_)P6ba~B}olu$b|IpmnJ%DilqRC`PM^%^h+<<%U%>H?(d^CnOjM27-ZLAQ%V+f`R*FAif9c zV)M4YSfmIP3-Ukzka0ya0 ztMV9VN+(vV+bWZS)}^wjP&7TF7_BBY`nNs2g-tLJ3N1pyEZ^kJ9_{~)1H@}!t@%76`Qw0C_1Ts ziLP1r36n=l^si=7N_bzRV{szF!So!Vwc|Xxy`&W&ps++Ku0S`0}-`CcrU|B|IHJBt0 zBWhECS4%Gc#<+yT0(l@yzE9*Ad*~N-_`x2Z?6Ko3EcjxNY{Fn&%)b+haL6XeHjnMd z;`9hnJAQXm!V1eAb8Rcdry69|{aoT{i?t*?h06e?lYJ|%GRGyzJgzq1t87+S6*?9t zL#iy39H(@$uhzB%spL@te$n`R7kv@NS)JvqXlpQCU!L)bd&wx7@0p|Eo46xuYX(1) z0XP3Eie54H>7ircP25eBSKm`)lP1}Fv*^T<8Eo0ODA&HPpyGL2-0fiC3NMTAOrvNw z=tV`syeVH-w|Z{E+62YGb~lsZCgFtE#8-6P25fgz*qf5D+M|LFmB_H#I#$DD25}4q zf`MQl7zhS}fncD-fS@RES5_OT62@x06YE0#TT5+K!fJ$homjDMt4s=7m&&3- z(e#L7H?(d^CnOjM27-ZLAQ;%~;{PHqwhHzaixh!^fnXpQ2nK?IU?3P6 z#(;oa?|ftvOq(Z7X-kYFGf2nK?IU?3O>27-ZL;4@?({$6&mN#9>AQUnSH zK1&9Ks_UJPY=UW3=%;jIU8WBvmXP1^;r*N@!of`$MOD4F0*bwSVEc8O=hMP zd-y4%sLDsv4q3O!5*0#k5 zU;J+;a~YV54#VaJa+J(3^`qdMxFc(81`mT^;59K2_uI3~=E!?e+;5#Jnwv|G=1M~& zpGY#QrZfr|+>y_Tj=WQcO_zyaMKMfqvPYpY*IjQX*1V#Wh~-Yz)c7f9hI3QO=;kW8 zyD6h+%8))7QH4V>FIS1i`c^oml?VmC@zdf0{1IKi5e)iLm&xwv?ht}2+KTLvwU?3O>27-ZLAQ*Ta1F^q-9$&t7@`uke z`daq40v+|vM>fHO~%YXFmgFp zG#Se^!2%Huu7#pmfiO@N7Go#YW!9}&LaP#1y>((d~Lc3HJ6^f=Q z#)e|#vm&V6u!;sJlf+@^Co2~jjf<*OYg1e^mYYWv{8T0H8^0;}Fff~sqp%YbHcIS$ zag5fz$0sG#SVQi@TwSy z`|Vk#bL2hgwcKw7rXgz8A@ch>m{x_}NGI03#1*_o=cAk%&Q-y+jBZN7-Ax%qRm8MD zPvwO1X-YB+!K#>-t595;Vy=pj&x)WbqvK?fIGol8!&U6j2vRn|7ZDEiOtT55O{rpi z6#N$xJ5{cI4i{qL{kI8{7CJaz2uxfo3gx0l6eE}Oy`U27-ZLU@QXyd}mXlE0a#F%k*!_*zNdC-6K;9 z7H-NYnlhw+OH|=d%*$0MEu>^aQ zO%P%9VEglacRcdsglScNGi~`z1g2En{qoV&HxYZiXOJ0@APSCO&m^>!U~Is6TaMUFi3+KT6flb1YQj zmR~7zR{i<5@{~vz`Li&)mw){JRpY7ZCah(^1I@$twY4c&meE-aCW*s{+7#f`l4F@9 zMwf7$BM)TB_lf*s5BU)Z8(j+m+Q8qbsjYthw``V6KAXeay1e+3sqy6V6R3 zqnoSX?xu{QDdnwm!gnb_xyX5N(GtO+n3t21l4L}Q-T>JtTqZ^v_}M^ zdXTaSzKC$>1i`c^omjDMt4s=7m&&3-(e#L7bnol7r_fuqf%}kPAQ%V+f`M}}5dRl> zE+(5e`=&)01Ovf9Fc1s`1HnKraPtfZ8M+M@MYC#`Fwm4vtmuPPnH01xl|_Z3=@G?f zHLayiOh_S2EiiH>B4*47a} zOoD-6AQ%V+f`MQl7$homl;Suu5mSnG6PQ z$7gCQnNqNDQ%2F0=-=)Xk}}6l#>_x4ayeHt8Ot@n0uc_bg`!!3Fi;g1;~|zTO-IFT zg>{*AD_+=SgJ)Q`YA9pYtx+fjzM}G6{ac#^1$#3YiBaKjID2AepB*cU^X8|VJ8;OD6#jAUsHzGcFn>fb}(=g45XXLB9aIO$_&h# z){Q}Fd}Da-0Xa9|0iGV5pZ9d+K4IRXo;TrVppC5U8EF(vueMZ+8HFMkC@^qyi&YAV zo?}?g0peneyeGYu`>ntnM6u?QJqituE-q71yD6in zikNmtA1oO#;N`sBgcX;jn5$ysvm&U<=s1}q4ohQ7xyWc-)FhcwFsKJ9o8XHGhfWYo zo6?EZzi#9Gw+Yghb;5a>W3(+%4Dw1l#M%q0!;u_T(Y$=!f+8I1LCPlhh6Dq_Krj#t z1Ovf9Fc1s`1HnKr5DWwZ!9Xx@3Il>uXH%jJzZ0v!4_4_ccO~R@e5U@6xeE|($|#yL zq<>3P;ZV%WRVXe!q8RzC2&yvr4fVk~GR-Cw)sk=ORSW)$iJdCfK8Lws`GUVY7`T+t z(b^;DleoxXxoAnO+oKt55r$qkENdWfv2d&@Pbr;Mg1zgp@EgJuawCN)rW zQvVWNv+xrpkCy0P&7zd>zDCF5M1+IsIYMj2Z`8H~QE>b!A0r4q%4w`zA3Z8Z{iz%4 zLj8n4O4ZMEEL7u`Unz4|{rR@?lt>u)voO1tfBgPc<;`96_f?4e)S;Rkzsvd50Eu;7b5vI&EAG5=01!XcX=+dQ@- zi_;@S?fBhM2`emf%(bl)pK6d<_j8G-E!L9o6fOglPWG+5${d#<^SIi4ud-QTRp?lp z45_kAa-7o1zFON7q>@Jo_(kLMUGzm5XLXjdqOHMneR;+!?j@sSzGsetZ{m)utr`4G z2J?&cnb4jMqtEuZ1&3I8(=1GrSKm`)ljgMT&7u=aW-x!B#IrK*Z6?#z$l^3(lz;HD z_|DXd$tJU+B4OT?ud7=V?!9Xw&47^$fgv!d>mDLubi-b$ibeTG_F4G4SOK4OdObvTX|JEoZai7YfLeVtE z*iejoRs>aj2F)O0bz&c^TAKpwXSRgH0uc`NOtT55RpFD6I$7+QsvSCkU5(fKH6hI3Pj z=xVNlyPGnKrVQzW5mh)8^Kuo6OOGf7bAl<=|BqvX>l;0G4YDB+Wl zuP8%nJGrjd-=0j}fnz#2Kl|y(=R`-cLu>1Z|4K}5?uqtFc#Sf4yAMIar5N~lsX!4Y z7zhR~#DKjeDI^%U2m`mU5uCd)FRr(aQ`f#YcmQ0Gfh(=r*6+UZObUKo?D@{bkXOq< z{*8$C`sGC)L(YGp$X)Np(PTgL@${5q+*Li+#2dWxvGlV1Wp-bFcA=#wtF(2z(yPEz zPH}bz47~83o4?Gw_2U0X#iP|>%*`sz_u)GrFH#)IKpF{tGPa!TxprjNbNED9Yvalo z(EDWJPSbt{*>R7423cVm3foVS&b9(`%Bg8ownA)6I?sf9} zRLDgb_;`^hcNQdmm%pA@e7#21 zi+?kF=874#qh4Us!5rzqd7K6V!9XxDl!154e;0qbys*DXj{(#2XHiUaC(lR&tjT_b z^;Y>ytAn*s2p{Kw?>GFP{v+Ca&t_!G45w$wRKg0&+*jqV!}fybY%&&}D=gon79jJK zrv>YYj@d0MGEX(Ujc(z5Qe-Ty=BegI_V{&j=G?FC=pB0#aOEHe6Z=$x?I<6E%*%w= z$W^!*F=xD5VJPz~U^2PRF6|$WY3v@?*Mc?C;bCnR>$Uk*ltC?YPfqPj4lKOtr>DeZ zvjoX2?7*{rx?W*wynQ3PFIOe3P3mxu+RW23Ez|J4Y^L)?@%)5w&z!HYri;g^PMjaT zmucbLukG=J7bb|F!@-T|poDx=o0r_Bo=-M_Wf`#79$k;^mg(7JdI=Lv1T zuW*sExY#kLv#b@%X!WO}btc_L1=Zt<;p|Cf&V`Xj{c1(|Xix5|GdZYjc@D1Dhmyw= zWO^$9pY8Vf?S=oxza^F0zka=yfBD}Y>TPOe_wnC-F-?$#*!}nR*uUB7PXEc@6O#cf z{^x1nd*Xihzj+c*7H@a|&A((IV=!PU6V?o~F80s^jJN;XT>hQ8y!#K2pc!K`a2R$< zYzYhK5_U=~W8jkhmw)p2tAVAK>@r!92es_~zyI{_vB-%nVGnD0;=^j$6!*wS`d@!| zyZf*H$8UE3&;Rvi%G3s)%K!CW{QKR3#XsM@e1Iqu$xGN3=p*aD{)@k38detRUq2<5 zYgsHZ=qB~m02qtQDlFx12HxzxhPHxyqo4lv&kl8e;s3wi?EZ@%b`MtJo8Qs>mpmW- zvz^@t(qH~=XG4jh9YbF}z{A*~ce}s+pKmOt8?lh8lYMFEAHG@OkFchQM0MnWv7b47 z$KeZmz{Hxb$J<61In?;CzTExqzZ`Kt4LL-U>OU5y>tM7O* zbcUwv-&j6qAFUpTte~egv9`kQkO^~q9NK-!8MBx;`62;Z5Z!(A_y66Y{#P?pdvYwd zuY9Lj28y>Y-(|jQKHjas(D|O2Z%)fiG7l^+o4ZxESX?Fx?#;_Uwpd{t+`YHA(TuTi zSwOSJGO$G1OUdmDH!Fc zbTeJb-|&$^Hx^5j4Ij7^mZS|kFW>g!|FGMYo?Bo3*YmUa>AAIj2Ckp;|0w_Xm%lq2 z;(7Ww1lIrbZhq=M4drL^yKnxD-9Hwq>CW(Eh2tZ9wwmrAQ^Y*vSUn9b_YZptFIf4G z^<*_+aT8k&&G+u<&H%qZbEt|f-*@^y{BL&CSngP)`b0GFX&SPKZz%iS)6kS^X5xv} z^^jBcR8YlgO8Fm_h!Yb>NkGo@s-CT$@|tF7dL3Vp^E7Es%Y=L6" +set_global_assignment -name VERILOG_INPUT_VERSION Verilog_2001 +set_global_assignment -name VHDL_INPUT_VERSION VHDL_1993 +set_global_assignment -name FAMILY "Cyclone IV GX" +set_global_assignment -name TRUE_WYSIWYG_FLOW Off +set_global_assignment -name SMART_COMPILE_IGNORES_TDC_FOR_STRATIX_PLL_CHANGES Off +set_global_assignment -name STATE_MACHINE_PROCESSING Auto +set_global_assignment -name SAFE_STATE_MACHINE Off +set_global_assignment -name EXTRACT_VERILOG_STATE_MACHINES On +set_global_assignment -name EXTRACT_VHDL_STATE_MACHINES On +set_global_assignment -name IGNORE_VERILOG_INITIAL_CONSTRUCTS Off +set_global_assignment -name VERILOG_CONSTANT_LOOP_LIMIT 5000 +set_global_assignment -name VERILOG_NON_CONSTANT_LOOP_LIMIT 250 +set_global_assignment -name INFER_RAMS_FROM_RAW_LOGIC On +set_global_assignment -name PARALLEL_SYNTHESIS On +set_global_assignment -name DSP_BLOCK_BALANCING Auto +set_global_assignment -name MAX_BALANCING_DSP_BLOCKS "-1 (Unlimited)" +set_global_assignment -name NOT_GATE_PUSH_BACK On +set_global_assignment -name ALLOW_POWER_UP_DONT_CARE On +set_global_assignment -name REMOVE_REDUNDANT_LOGIC_CELLS Off +set_global_assignment -name REMOVE_DUPLICATE_REGISTERS On +set_global_assignment -name IGNORE_CARRY_BUFFERS Off +set_global_assignment -name IGNORE_CASCADE_BUFFERS Off +set_global_assignment -name IGNORE_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_ROW_GLOBAL_BUFFERS Off +set_global_assignment -name IGNORE_LCELL_BUFFERS Off +set_global_assignment -name MAX7000_IGNORE_LCELL_BUFFERS AUTO +set_global_assignment -name IGNORE_SOFT_BUFFERS On +set_global_assignment -name MAX7000_IGNORE_SOFT_BUFFERS Off +set_global_assignment -name LIMIT_AHDL_INTEGERS_TO_32_BITS Off +set_global_assignment -name AUTO_GLOBAL_CLOCK_MAX On +set_global_assignment -name AUTO_GLOBAL_OE_MAX On +set_global_assignment -name MAX_AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name AUTO_IMPLEMENT_IN_ROM Off +set_global_assignment -name APEX20K_TECHNOLOGY_MAPPER Lut +set_global_assignment -name OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONE_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name CYCLONEII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name STRATIX_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAXII_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MAX7000_OPTIMIZATION_TECHNIQUE Speed +set_global_assignment -name APEX20K_OPTIMIZATION_TECHNIQUE Balanced +set_global_assignment -name MERCURY_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX6K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name FLEX10K_OPTIMIZATION_TECHNIQUE Area +set_global_assignment -name ALLOW_XOR_GATE_USAGE On +set_global_assignment -name AUTO_LCELL_INSERTION On +set_global_assignment -name CARRY_CHAIN_LENGTH 48 +set_global_assignment -name FLEX6K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name FLEX10K_CARRY_CHAIN_LENGTH 32 +set_global_assignment -name MERCURY_CARRY_CHAIN_LENGTH 48 +set_global_assignment -name STRATIX_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name STRATIXII_CARRY_CHAIN_LENGTH 70 +set_global_assignment -name CASCADE_CHAIN_LENGTH 2 +set_global_assignment -name PARALLEL_EXPANDER_CHAIN_LENGTH 16 +set_global_assignment -name MAX7000_PARALLEL_EXPANDER_CHAIN_LENGTH 4 +set_global_assignment -name AUTO_CARRY_CHAINS On +set_global_assignment -name AUTO_CASCADE_CHAINS On +set_global_assignment -name AUTO_PARALLEL_EXPANDERS On +set_global_assignment -name AUTO_OPEN_DRAIN_PINS On +set_global_assignment -name ADV_NETLIST_OPT_SYNTH_WYSIWYG_REMAP Off +set_global_assignment -name AUTO_ROM_RECOGNITION On +set_global_assignment -name AUTO_RAM_RECOGNITION On +set_global_assignment -name AUTO_DSP_RECOGNITION On +set_global_assignment -name AUTO_SHIFT_REGISTER_RECOGNITION Auto +set_global_assignment -name ALLOW_SHIFT_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name AUTO_CLOCK_ENABLE_RECOGNITION On +set_global_assignment -name STRICT_RAM_RECOGNITION Off +set_global_assignment -name ALLOW_SYNCH_CTRL_USAGE On +set_global_assignment -name FORCE_SYNCH_CLEAR Off +set_global_assignment -name AUTO_RAM_BLOCK_BALANCING On +set_global_assignment -name AUTO_RAM_TO_LCELL_CONVERSION Off +set_global_assignment -name AUTO_RESOURCE_SHARING Off +set_global_assignment -name ALLOW_ANY_RAM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_ROM_SIZE_FOR_RECOGNITION Off +set_global_assignment -name ALLOW_ANY_SHIFT_REGISTER_SIZE_FOR_RECOGNITION Off +set_global_assignment -name MAX7000_FANIN_PER_CELL 100 +set_global_assignment -name USE_LOGICLOCK_CONSTRAINTS_IN_BALANCING On +set_global_assignment -name MAX_RAM_BLOCKS_M512 "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_M4K "-1 (Unlimited)" +set_global_assignment -name MAX_RAM_BLOCKS_MRAM "-1 (Unlimited)" +set_global_assignment -name IGNORE_TRANSLATE_OFF_AND_SYNTHESIS_OFF Off +set_global_assignment -name STRATIXGX_BYPASS_REMAPPING_OF_FORCE_SIGNAL_DETECT_SIGNAL_THRESHOLD_SELECT Off +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix IV" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone IV E" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone III LS" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone III" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix III" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Stratix V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria V GZ" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Cyclone V" +set_global_assignment -name SYNTH_TIMING_DRIVEN_SYNTHESIS On -family "Arria II GX" +set_global_assignment -name REPORT_PARAMETER_SETTINGS On +set_global_assignment -name REPORT_SOURCE_ASSIGNMENTS On +set_global_assignment -name REPORT_CONNECTIVITY_CHECKS On +set_global_assignment -name IGNORE_MAX_FANOUT_ASSIGNMENTS Off +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV E" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix IV" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone III" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Stratix V" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "MAX II" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria V GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Arria II GZ" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone IV GX" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Cyclone III LS" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 2 -family "Stratix III" +set_global_assignment -name SYNCHRONIZATION_REGISTER_CHAIN_LENGTH 3 -family "Cyclone V" +set_global_assignment -name OPTIMIZE_POWER_DURING_SYNTHESIS "Normal compilation" +set_global_assignment -name HDL_MESSAGE_LEVEL Level2 +set_global_assignment -name USE_HIGH_SPEED_ADDER Auto +set_global_assignment -name NUMBER_OF_REMOVED_REGISTERS_REPORTED 5000 +set_global_assignment -name NUMBER_OF_SWEPT_NODES_REPORTED 5000 +set_global_assignment -name NUMBER_OF_INVERTED_REGISTERS_REPORTED 100 +set_global_assignment -name SYNTH_CLOCK_MUX_PROTECTION On +set_global_assignment -name SYNTH_GATED_CLOCK_CONVERSION Off +set_global_assignment -name BLOCK_DESIGN_NAMING Auto +set_global_assignment -name SYNTH_PROTECT_SDC_CONSTRAINT Off +set_global_assignment -name SYNTHESIS_EFFORT Auto +set_global_assignment -name SHIFT_REGISTER_RECOGNITION_ACLR_SIGNAL On +set_global_assignment -name PRE_MAPPING_RESYNTHESIS Off +set_global_assignment -name SYNTH_MESSAGE_LEVEL Medium +set_global_assignment -name DISABLE_REGISTER_MERGING_ACROSS_HIERARCHIES Auto +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV GX" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix IV" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone IV E" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone III LS" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone III" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix III" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Stratix V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria V GZ" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Cyclone V" +set_global_assignment -name SYNTH_RESOURCE_AWARE_INFERENCE_FOR_BLOCK_RAM On -family "Arria II GX" +set_global_assignment -name MAX_LABS "-1 (Unlimited)" +set_global_assignment -name RBCGEN_CRITICAL_WARNING_TO_ERROR On +set_global_assignment -name SYNTHESIS_SEED 1 +set_global_assignment -name MAX_NUMBER_OF_REGISTERS_FROM_UNINFERRED_RAMS "-1 (Unlimited)" +set_global_assignment -name AUTO_PARALLEL_SYNTHESIS On +set_global_assignment -name FLEX10K_ENABLE_LOCK_OUTPUT Off +set_global_assignment -name AUTO_MERGE_PLLS On +set_global_assignment -name IGNORE_MODE_FOR_MERGE Off +set_global_assignment -name TXPMA_SLEW_RATE Low +set_global_assignment -name ADCE_ENABLED Auto +set_global_assignment -name ROUTER_TIMING_OPTIMIZATION_LEVEL Normal +set_global_assignment -name ROUTER_CLOCKING_TOPOLOGY_ANALYSIS Off +set_global_assignment -name PLACEMENT_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name ROUTER_EFFORT_MULTIPLIER 1.0 +set_global_assignment -name FIT_ATTEMPTS_TO_SKIP 0.0 +set_global_assignment -name ECO_ALLOW_ROUTING_CHANGES Off +set_global_assignment -name DEVICE AUTO +set_global_assignment -name BASE_PIN_OUT_FILE_ON_SAMEFRAME_DEVICE Off +set_global_assignment -name ENABLE_JTAG_BST_SUPPORT Off +set_global_assignment -name MAX7000_ENABLE_JTAG_BST_SUPPORT On +set_global_assignment -name ENABLE_NCEO_OUTPUT Off +set_global_assignment -name RESERVE_NCEO_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name CYCLONEII_RESERVE_NCEO_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name STRATIXIII_UPDATE_MODE Standard +set_global_assignment -name STRATIX_UPDATE_MODE Standard +set_global_assignment -name INTERNAL_FLASH_UPDATE_MODE Standard +set_global_assignment -name FALLBACK_TO_EXTERNAL_FLASH Off +set_global_assignment -name EXTERNAL_FLASH_FALLBACK_ADDRESS 00000000 +set_global_assignment -name CVP_MODE Off +set_global_assignment -name STRATIXV_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIXIII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name MAX10FPGA_CONFIGURATION_SCHEME "Internal Configuration" +set_global_assignment -name CYCLONEIII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name STRATIXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONEII_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name APEX20K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name STRATIX_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name CYCLONE_CONFIGURATION_SCHEME "Active Serial" +set_global_assignment -name MERCURY_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX6K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name FLEX10K_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name APEXII_CONFIGURATION_SCHEME "Passive Serial" +set_global_assignment -name USER_START_UP_CLOCK Off +set_global_assignment -name DEVICE_INITIALIZATION_CLOCK INIT_INTOSC +set_global_assignment -name ENABLE_VREFA_PIN Off +set_global_assignment -name ENABLE_VREFB_PIN Off +set_global_assignment -name ALWAYS_ENABLE_INPUT_BUFFERS Off +set_global_assignment -name ENABLE_ASMI_FOR_FLASH_LOADER Off +set_global_assignment -name ENABLE_DEVICE_WIDE_RESET Off +set_global_assignment -name ENABLE_DEVICE_WIDE_OE Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS "As output driving ground" +set_global_assignment -name ENABLE_INIT_DONE_OUTPUT Off +set_global_assignment -name INIT_DONE_OPEN_DRAIN On +set_global_assignment -name RESERVE_NWS_NRS_NCS_CS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_RDYNBUSY_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA31_THROUGH_DATA16_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA15_THROUGH_DATA8_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA1_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA0_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA1_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA2_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DATA7_THROUGH_DATA5_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_FLASH_NCE_AFTER_CONFIGURATION "As input tri-stated" +set_global_assignment -name RESERVE_OTHER_AP_PINS_AFTER_CONFIGURATION "Use as regular IO" +set_global_assignment -name RESERVE_DCLK_AFTER_CONFIGURATION "Use as programming pin" +set_global_assignment -name ENABLE_CONFIGURATION_PINS On +set_global_assignment -name ENABLE_JTAG_PIN_SHARING Off +set_global_assignment -name ENABLE_NCE_PIN On +set_global_assignment -name ENABLE_BOOT_SEL_PIN On +set_global_assignment -name CRC_ERROR_CHECKING Off +set_global_assignment -name INTERNAL_SCRUBBING Off +set_global_assignment -name PR_ERROR_OPEN_DRAIN On +set_global_assignment -name PR_READY_OPEN_DRAIN On +set_global_assignment -name ENABLE_CVP_CONFDONE Off +set_global_assignment -name CVP_CONFDONE_OPEN_DRAIN On +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix IV" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone III LS" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix III" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Stratix V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "IO Paths and Minimum TPD Paths" -family "MAX II" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Cyclone V" +set_global_assignment -name OPTIMIZE_HOLD_TIMING "All Paths" -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV E" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix IV" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone III" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix V" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria V GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING Off -family "MAX II" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Arria II GZ" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone IV GX" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone III LS" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Stratix III" +set_global_assignment -name OPTIMIZE_MULTI_CORNER_TIMING On -family "Cyclone V" +set_global_assignment -name BLOCK_RAM_TO_MLAB_CELL_CONVERSION On +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_POWER_UP_CONDITIONS Auto +set_global_assignment -name BLOCK_RAM_AND_MLAB_EQUIVALENT_PAUSED_READ_CAPABILITIES Care +set_global_assignment -name PROGRAMMABLE_POWER_TECHNOLOGY_SETTING Automatic +set_global_assignment -name PROGRAMMABLE_POWER_MAXIMUM_HIGH_SPEED_FRACTION_OF_USED_LAB_TILES 1.0 +set_global_assignment -name GUARANTEE_MIN_DELAY_CORNER_IO_ZERO_HOLD_TIME On +set_global_assignment -name OPTIMIZE_POWER_DURING_FITTING "Normal compilation" +set_global_assignment -name OPTIMIZE_SSN Off +set_global_assignment -name OPTIMIZE_TIMING "Normal compilation" +set_global_assignment -name ECO_OPTIMIZE_TIMING Off +set_global_assignment -name ECO_REGENERATE_REPORT Off +set_global_assignment -name OPTIMIZE_IOC_REGISTER_PLACEMENT_FOR_TIMING Normal +set_global_assignment -name FIT_ONLY_ONE_ATTEMPT Off +set_global_assignment -name FINAL_PLACEMENT_OPTIMIZATION Automatically +set_global_assignment -name FITTER_AGGRESSIVE_ROUTABILITY_OPTIMIZATION Automatically +set_global_assignment -name SEED 1 +set_global_assignment -name SLOW_SLEW_RATE Off +set_global_assignment -name PCI_IO Off +set_global_assignment -name VREF_MODE EXTERNAL +set_global_assignment -name TURBO_BIT On +set_global_assignment -name WEAK_PULL_UP_RESISTOR Off +set_global_assignment -name ENABLE_BUS_HOLD_CIRCUITRY Off +set_global_assignment -name AUTO_GLOBAL_MEMORY_CONTROLS Off +set_global_assignment -name MIGRATION_CONSTRAIN_CORE_RESOURCES On +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_MAXII AUTO +set_global_assignment -name AUTO_PACKED_REGISTERS_CYCLONE Auto +set_global_assignment -name AUTO_PACKED_REGISTERS Off +set_global_assignment -name AUTO_PACKED_REGISTERS_STRATIX AUTO +set_global_assignment -name NORMAL_LCELL_INSERT On +set_global_assignment -name CARRY_OUT_PINS_LCELL_INSERT On +set_global_assignment -name AUTO_DELAY_CHAINS On +set_global_assignment -name AUTO_DELAY_CHAINS_FOR_HIGH_FANOUT_INPUT_PINS OFF +set_global_assignment -name XSTL_INPUT_ALLOW_SE_BUFFER Off +set_global_assignment -name TREAT_BIDIR_AS_OUTPUT Off +set_global_assignment -name AUTO_TURBO_BIT ON +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_COMBO_LOGIC Off +set_global_assignment -name PHYSICAL_SYNTHESIS_LOG_FILE Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_DUPLICATION Off +set_global_assignment -name PHYSICAL_SYNTHESIS_MAP_LOGIC_TO_MEMORY_FOR_AREA Off +set_global_assignment -name PHYSICAL_SYNTHESIS_REGISTER_RETIMING Off +set_global_assignment -name PHYSICAL_SYNTHESIS_ASYNCHRONOUS_SIGNAL_PIPELINING Off +set_global_assignment -name IO_PLACEMENT_OPTIMIZATION On +set_global_assignment -name ALLOW_LVTTL_LVCMOS_INPUT_LEVELS_TO_OVERDRIVE_INPUT_BUFFER Off +set_global_assignment -name OVERRIDE_DEFAULT_ELECTROMIGRATION_PARAMETERS Off +set_global_assignment -name FITTER_EFFORT "Auto Fit" +set_global_assignment -name FITTER_AUTO_EFFORT_DESIRED_SLACK_MARGIN 0ns +set_global_assignment -name PHYSICAL_SYNTHESIS_EFFORT Normal +set_global_assignment -name ROUTER_LCELL_INSERTION_AND_LOGIC_DUPLICATION AUTO +set_global_assignment -name ROUTER_REGISTER_DUPLICATION AUTO +set_global_assignment -name STRATIXGX_ALLOW_CLOCK_FANOUT_WITH_ANALOG_RESET Off +set_global_assignment -name AUTO_GLOBAL_CLOCK On +set_global_assignment -name AUTO_GLOBAL_OE On +set_global_assignment -name AUTO_GLOBAL_REGISTER_CONTROLS On +set_global_assignment -name FITTER_EARLY_TIMING_ESTIMATE_MODE Realistic +set_global_assignment -name STRATIXGX_ALLOW_GIGE_UNDER_FULL_DATARATE_RANGE Off +set_global_assignment -name STRATIXGX_ALLOW_RX_CORECLK_FROM_NON_RX_CLKOUT_SOURCE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_PARALLEL_LOOPBACK_IN_DOUBLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_IN_SINGLE_DATA_WIDTH_MODE Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_XAUI_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_CORECLK_SELECTED_AT_RATE_MATCHER Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITHOUT_8B10B Off +set_global_assignment -name STRATIXGX_ALLOW_GIGE_WITH_RX_CORECLK_FROM_NON_TXPLL_SOURCE Off +set_global_assignment -name STRATIXGX_ALLOW_POST8B10B_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_REVERSE_PARALLEL_LOOPBACK Off +set_global_assignment -name STRATIXGX_ALLOW_USE_OF_GXB_COUPLED_IOS Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF Off +set_global_assignment -name GENERATE_GXB_RECONFIG_MIF_WITH_PLL Off +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "As input tri-stated with weak pull-up" +set_global_assignment -name ENABLE_HOLD_BACK_OFF On +set_global_assignment -name CONFIGURATION_VCCIO_LEVEL Auto +set_global_assignment -name FORCE_CONFIGURATION_VCCIO Off +set_global_assignment -name SYNCHRONIZER_IDENTIFICATION Off +set_global_assignment -name ENABLE_BENEFICIAL_SKEW_OPTIMIZATION On +set_global_assignment -name OPTIMIZE_FOR_METASTABILITY On +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone IV E" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone III" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Stratix V" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Arria V GZ" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Cyclone III LS" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN Off -family "Stratix III" +set_global_assignment -name CRC_ERROR_OPEN_DRAIN On -family "Cyclone V" +set_global_assignment -name MAX_GLOBAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_REGIONAL_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_LARGE_PERIPHERY_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name MAX_CLOCKS_ALLOWED "-1 (Unlimited)" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Stratix V" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Cyclone IV GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Arria V GZ" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_40MHz -family "Arria II GX" +set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHz -family "Cyclone V" +set_global_assignment -name M144K_BLOCK_READ_CLOCK_DUTY_CYCLE_DEPENDENCY Off +set_global_assignment -name STRATIXIII_MRAM_COMPATIBILITY On +set_global_assignment -name FORCE_FITTER_TO_AVOID_PERIPHERY_PLACEMENT_WARNINGS Off +set_global_assignment -name AUTO_C3_M9K_BIT_SKIP Off +set_global_assignment -name PR_DONE_OPEN_DRAIN On +set_global_assignment -name NCEO_OPEN_DRAIN On +set_global_assignment -name ENABLE_CRC_ERROR_PIN Off +set_global_assignment -name ENABLE_PR_PINS Off +set_global_assignment -name PR_PINS_OPEN_DRAIN Off +set_global_assignment -name CLAMPING_DIODE Off +set_global_assignment -name TRI_STATE_SPI_PINS Off +set_global_assignment -name UNUSED_TSD_PINS_GND Off +set_global_assignment -name IMPLEMENT_MLAB_IN_16_BIT_DEEP_MODE Off +set_global_assignment -name FORM_DDR_CLUSTERING_CLIQUE Off +set_global_assignment -name ALM_REGISTER_PACKING_EFFORT MEDIUM +set_global_assignment -name EDA_SIMULATION_TOOL "" +set_global_assignment -name EDA_TIMING_ANALYSIS_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TIMING_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SYMBOL_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_SIGNAL_INTEGRITY_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_BOUNDARY_SCAN_TOOL "" +set_global_assignment -name EDA_BOARD_DESIGN_TOOL "" +set_global_assignment -name EDA_FORMAL_VERIFICATION_TOOL "" +set_global_assignment -name EDA_RESYNTHESIS_TOOL "" +set_global_assignment -name ON_CHIP_BITSTREAM_DECOMPRESSION On +set_global_assignment -name COMPRESSION_MODE Off +set_global_assignment -name CLOCK_SOURCE Internal +set_global_assignment -name CONFIGURATION_CLOCK_FREQUENCY "10 MHz" +set_global_assignment -name CONFIGURATION_CLOCK_DIVISOR 1 +set_global_assignment -name ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name FLEX6K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE Off +set_global_assignment -name FLEX10K_ENABLE_LOW_VOLTAGE_MODE_ON_CONFIG_DEVICE On +set_global_assignment -name MAX7000S_JTAG_USER_CODE FFFF +set_global_assignment -name STRATIX_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name APEX20K_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_JTAG_USER_CODE 7F +set_global_assignment -name MAX7000_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MAX7000_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name USE_CHECKSUM_AS_USERCODE On +set_global_assignment -name SECURITY_BIT Off +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV E" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix IV" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone III" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX V" +set_global_assignment -name USE_CONFIGURATION_DEVICE On -family "MAX II" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Arria II GZ" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone IV GX" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Cyclone III LS" +set_global_assignment -name USE_CONFIGURATION_DEVICE Off -family "Stratix III" +set_global_assignment -name CYCLONEIII_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIXII_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIGURATION_DEVICE Auto +set_global_assignment -name MERCURY_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX6K_CONFIGURATION_DEVICE Auto +set_global_assignment -name FLEX10K_CONFIGURATION_DEVICE Auto +set_global_assignment -name CYCLONE_CONFIGURATION_DEVICE Auto +set_global_assignment -name STRATIX_CONFIGURATION_DEVICE Auto +set_global_assignment -name APEX20K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name STRATIX_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name MERCURY_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name FLEX10K_CONFIG_DEVICE_JTAG_USER_CODE FFFFFFFF +set_global_assignment -name EPROM_USE_CHECKSUM_AS_USERCODE Off +set_global_assignment -name AUTO_INCREMENT_CONFIG_DEVICE_JTAG_USER_CODE On +set_global_assignment -name DISABLE_NCS_AND_OE_PULLUPS_ON_CONFIG_DEVICE Off +set_global_assignment -name GENERATE_TTF_FILE Off +set_global_assignment -name GENERATE_RBF_FILE Off +set_global_assignment -name GENERATE_HEX_FILE Off +set_global_assignment -name HEXOUT_FILE_START_ADDRESS 0 +set_global_assignment -name HEXOUT_FILE_COUNT_DIRECTION Up +set_global_assignment -name RESERVE_ALL_UNUSED_PINS_NO_OUTPUT_GND "As output driving an unspecified signal" +set_global_assignment -name RELEASE_CLEARS_BEFORE_TRI_STATES Off +set_global_assignment -name AUTO_RESTART_CONFIGURATION On +set_global_assignment -name HARDCOPYII_POWER_ON_EXTRA_DELAY Off +set_global_assignment -name STRATIXII_MRAM_COMPATIBILITY Off +set_global_assignment -name CYCLONEII_M4K_COMPATIBILITY On +set_global_assignment -name ENABLE_OCT_DONE Off +set_global_assignment -name USE_CHECKERED_PATTERN_AS_UNINITIALIZED_RAM_CONTENT OFF +set_global_assignment -name ARRIAIIGX_RX_CDR_LOCKUP_FIX_OVERRIDE Off +set_global_assignment -name ENABLE_AUTONOMOUS_PCIE_HIP Off +set_global_assignment -name START_TIME 0ns +set_global_assignment -name SIMULATION_MODE TIMING +set_global_assignment -name AUTO_USE_SIMULATION_PDB_NETLIST Off +set_global_assignment -name ADD_DEFAULT_PINS_TO_SIMULATION_OUTPUT_WAVEFORMS On +set_global_assignment -name SETUP_HOLD_DETECTION Off +set_global_assignment -name SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off +set_global_assignment -name CHECK_OUTPUTS Off +set_global_assignment -name SIMULATION_COVERAGE On +set_global_assignment -name SIMULATION_COMPLETE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_1_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name SIMULATION_MISSING_0_VALUE_COVERAGE_REPORT_PANEL On +set_global_assignment -name GLITCH_DETECTION Off +set_global_assignment -name GLITCH_INTERVAL 1ns +set_global_assignment -name SIMULATOR_GENERATE_SIGNAL_ACTIVITY_FILE Off +set_global_assignment -name SIMULATION_WITH_GLITCH_FILTERING_WHEN_GENERATING_SAF On +set_global_assignment -name SIMULATION_BUS_CHANNEL_GROUPING Off +set_global_assignment -name SIMULATION_VDB_RESULT_FLUSH On +set_global_assignment -name VECTOR_COMPARE_TRIGGER_MODE INPUT_EDGE +set_global_assignment -name SIMULATION_NETLIST_VIEWER Off +set_global_assignment -name SIMULATION_INTERCONNECT_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATION_CELL_DELAY_MODEL_TYPE TRANSPORT +set_global_assignment -name SIMULATOR_GENERATE_POWERPLAY_VCD_FILE Off +set_global_assignment -name SIMULATOR_PVT_TIMING_MODEL_TYPE AUTO +set_global_assignment -name SIMULATION_WITH_AUTO_GLITCH_FILTERING AUTO +set_global_assignment -name DRC_TOP_FANOUT 50 +set_global_assignment -name DRC_FANOUT_EXCEEDING 30 +set_global_assignment -name DRC_GATED_CLOCK_FEED 30 +set_global_assignment -name HARDCOPY_FLOW_AUTOMATION MIGRATION_ONLY +set_global_assignment -name ENABLE_DRC_SETTINGS Off +set_global_assignment -name CLK_RULE_CLKNET_CLKSPINES_THRESHOLD 25 +set_global_assignment -name DRC_DETAIL_MESSAGE_LIMIT 10 +set_global_assignment -name DRC_VIOLATION_MESSAGE_LIMIT 30 +set_global_assignment -name DRC_DEADLOCK_STATE_LIMIT 2 +set_global_assignment -name MERGE_HEX_FILE Off +set_global_assignment -name GENERATE_SVF_FILE Off +set_global_assignment -name GENERATE_ISC_FILE Off +set_global_assignment -name GENERATE_JAM_FILE Off +set_global_assignment -name GENERATE_JBC_FILE Off +set_global_assignment -name GENERATE_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_SVF_FILE Off +set_global_assignment -name GENERATE_CONFIG_ISC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JAM_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE Off +set_global_assignment -name GENERATE_CONFIG_JBC_FILE_COMPRESSED On +set_global_assignment -name GENERATE_CONFIG_HEXOUT_FILE Off +set_global_assignment -name ISP_CLAMP_STATE_DEFAULT "Tri-state" +set_global_assignment -name SIGNALPROBE_ALLOW_OVERUSE Off +set_global_assignment -name SIGNALPROBE_DURING_NORMAL_COMPILATION Off +set_global_assignment -name POWER_DEFAULT_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_DEFAULT_INPUT_IO_TOGGLE_RATE 12.5% +set_global_assignment -name POWER_USE_PVA On +set_global_assignment -name POWER_USE_INPUT_FILE "No File" +set_global_assignment -name POWER_USE_INPUT_FILES Off +set_global_assignment -name POWER_VCD_FILTER_GLITCHES On +set_global_assignment -name POWER_REPORT_SIGNAL_ACTIVITY Off +set_global_assignment -name POWER_REPORT_POWER_DISSIPATION Off +set_global_assignment -name POWER_USE_DEVICE_CHARACTERISTICS TYPICAL +set_global_assignment -name POWER_AUTO_COMPUTE_TJ On +set_global_assignment -name POWER_TJ_VALUE 25 +set_global_assignment -name POWER_USE_TA_VALUE 25 +set_global_assignment -name POWER_USE_CUSTOM_COOLING_SOLUTION Off +set_global_assignment -name POWER_BOARD_TEMPERATURE 25 +set_global_assignment -name POWER_HPS_ENABLE Off +set_global_assignment -name POWER_HPS_PROC_FREQ 0.0 +set_global_assignment -name IGNORE_PARTITIONS Off +set_global_assignment -name AUTO_EXPORT_INCREMENTAL_COMPILATION Off +set_global_assignment -name RAPID_RECOMPILE_ASSIGNMENT_CHECKING On +set_global_assignment -name OUTPUT_IO_TIMING_ENDPOINT "Near End" +set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS On +set_global_assignment -name RTLV_SIMPLIFIED_LOGIC On +set_global_assignment -name RTLV_GROUP_RELATED_NODES On +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD Off +set_global_assignment -name RTLV_GROUP_COMB_LOGIC_IN_CLOUD_TMV Off +set_global_assignment -name RTLV_GROUP_RELATED_NODES_TMV On +set_global_assignment -name EQC_CONSTANT_DFF_DETECTION On +set_global_assignment -name EQC_DUPLICATE_DFF_DETECTION On +set_global_assignment -name EQC_BBOX_MERGE On +set_global_assignment -name EQC_LVDS_MERGE On +set_global_assignment -name EQC_RAM_UNMERGING On +set_global_assignment -name EQC_DFF_SS_EMULATION On +set_global_assignment -name EQC_RAM_REGISTER_UNPACK On +set_global_assignment -name EQC_MAC_REGISTER_UNPACK On +set_global_assignment -name EQC_SET_PARTITION_BB_TO_VCC_GND On +set_global_assignment -name EQC_STRUCTURE_MATCHING On +set_global_assignment -name EQC_AUTO_BREAK_CONE On +set_global_assignment -name EQC_POWER_UP_COMPARE Off +set_global_assignment -name EQC_AUTO_COMP_LOOP_CUT On +set_global_assignment -name EQC_AUTO_INVERSION On +set_global_assignment -name EQC_AUTO_TERMINATE On +set_global_assignment -name EQC_SUB_CONE_REPORT Off +set_global_assignment -name EQC_RENAMING_RULES On +set_global_assignment -name EQC_PARAMETER_CHECK On +set_global_assignment -name EQC_AUTO_PORTSWAP On +set_global_assignment -name EQC_DETECT_DONT_CARES On +set_global_assignment -name EQC_SHOW_ALL_MAPPED_POINTS Off +set_global_assignment -name EDA_INPUT_GND_NAME GND -section_id ? +set_global_assignment -name EDA_INPUT_VCC_NAME VCC -section_id ? +set_global_assignment -name EDA_INPUT_DATA_FORMAT NONE -section_id ? +set_global_assignment -name EDA_SHOW_LMF_MAPPING_MESSAGES Off -section_id ? +set_global_assignment -name EDA_RUN_TOOL_AUTOMATICALLY Off -section_id ? +set_global_assignment -name RESYNTHESIS_RETIMING FULL -section_id ? +set_global_assignment -name RESYNTHESIS_OPTIMIZATION_EFFORT Normal -section_id ? +set_global_assignment -name RESYNTHESIS_PHYSICAL_SYNTHESIS Normal -section_id ? +set_global_assignment -name USE_GENERATED_PHYSICAL_CONSTRAINTS On -section_id ? +set_global_assignment -name VCCPD_VOLTAGE 3.3V -section_id ? +set_global_assignment -name EDA_USER_COMPILED_SIMULATION_LIBRARY_DIRECTORY "" -section_id ? +set_global_assignment -name EDA_LAUNCH_CMD_LINE_TOOL Off -section_id ? +set_global_assignment -name EDA_ENABLE_IPUTF_MODE On -section_id ? +set_global_assignment -name EDA_NATIVELINK_PORTABLE_FILE_PATHS Off -section_id ? +set_global_assignment -name EDA_NATIVELINK_GENERATE_SCRIPT_ONLY Off -section_id ? +set_global_assignment -name EDA_WAIT_FOR_GUI_TOOL_COMPLETION Off -section_id ? +set_global_assignment -name EDA_TRUNCATE_LONG_HIERARCHY_PATHS Off -section_id ? +set_global_assignment -name EDA_FLATTEN_BUSES Off -section_id ? +set_global_assignment -name EDA_MAP_ILLEGAL_CHARACTERS Off -section_id ? +set_global_assignment -name EDA_GENERATE_TIMING_CLOSURE_DATA Off -section_id ? +set_global_assignment -name EDA_GENERATE_POWER_INPUT_FILE Off -section_id ? +set_global_assignment -name EDA_TEST_BENCH_ENABLE_STATUS NOT_USED -section_id ? +set_global_assignment -name EDA_RTL_SIM_MODE NOT_USED -section_id ? +set_global_assignment -name EDA_MAINTAIN_DESIGN_HIERARCHY OFF -section_id ? +set_global_assignment -name EDA_GENERATE_FUNCTIONAL_NETLIST Off -section_id ? +set_global_assignment -name EDA_WRITE_DEVICE_CONTROL_PORTS Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_TCL_FILE Off -section_id ? +set_global_assignment -name EDA_SIMULATION_VCD_OUTPUT_SIGNALS_TO_TCL_FILE "All Except Combinational Logic Element Outputs" -section_id ? +set_global_assignment -name EDA_ENABLE_GLITCH_FILTERING Off -section_id ? +set_global_assignment -name EDA_WRITE_NODES_FOR_POWER_ESTIMATION OFF -section_id ? +set_global_assignment -name EDA_SETUP_HOLD_DETECTION_INPUT_REGISTERS_BIDIR_PINS_DISABLED Off -section_id ? +set_global_assignment -name EDA_WRITER_DONT_WRITE_TOP_ENTITY Off -section_id ? +set_global_assignment -name EDA_VHDL_ARCH_NAME structure -section_id ? +set_global_assignment -name EDA_IBIS_MODEL_SELECTOR Off -section_id ? +set_global_assignment -name EDA_IBIS_MUTUAL_COUPLING Off -section_id ? +set_global_assignment -name EDA_FORMAL_VERIFICATION_ALLOW_RETIMING Off -section_id ? +set_global_assignment -name EDA_BOARD_BOUNDARY_SCAN_OPERATION PRE_CONFIG -section_id ? +set_global_assignment -name EDA_GENERATE_RTL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_GENERATE_GATE_LEVEL_SIMULATION_COMMAND_SCRIPT Off -section_id ? +set_global_assignment -name EDA_IBIS_SPECIFICATION_VERSION 4p1 -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_OFFSET 0ns -section_id ? +set_global_assignment -name SIM_VECTOR_COMPARED_CLOCK_DUTY_CYCLE 50 -section_id ? +set_global_assignment -name APEX20K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MAX7K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name MERCURY_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX6K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name FLEX10K_CLIQUE_TYPE LAB -section_id ? -entity ? +set_global_assignment -name PARTITION_PRESERVE_HIGH_SPEED_TILES On -section_id ? -entity ? +set_global_assignment -name PARTITION_IGNORE_SOURCE_FILE_CHANGES Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ALWAYS_USE_QXP_NETLIST Off -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_ASSIGNMENTS REPLACE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_EXISTING_LOGICLOCK_REGIONS UPDATE_CONFLICTING -section_id ? -entity ? +set_global_assignment -name PARTITION_IMPORT_PROMOTE_ASSIGNMENTS On -section_id ? -entity ? +set_global_assignment -name ALLOW_MULTIPLE_PERSONAS Off -section_id ? -entity ? +set_global_assignment -name PARTITION_ASD_REGION_ID 1 -section_id ? -entity ? +set_global_assignment -name CROSS_BOUNDARY_OPTIMIZATIONS Off -section_id ? -entity ? +set_global_assignment -name PROPAGATE_CONSTANTS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name PROPAGATE_INVERSIONS_ON_INPUTS On -section_id ? -entity ? +set_global_assignment -name REMOVE_LOGIC_ON_UNCONNECTED_OUTPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_INPUTS On -section_id ? -entity ? +set_global_assignment -name MERGE_EQUIVALENT_BIDIRS On -section_id ? -entity ? +set_global_assignment -name ABSORB_PATHS_FROM_OUTPUTS_TO_INPUTS On -section_id ? -entity ? +set_global_assignment -name PARTITION_ENABLE_STRICT_PRESERVATION Off -section_id ? -entity ? diff --git a/examples/hdl4se_riscv/test_code/test.elf b/examples/hdl4se_riscv/test_code/test.elf index 39d267a04aa26881f3510a11fb07581c5f5c0117..3e8508df9fb3102838039b3c0d150e0dc6ecce1f 100755 GIT binary patch delta 859 zcmZ9KOK1~O6o&7a+{sLekQg-?+DsmXc1WU9+X{;KV5S?jLZdYs#TMGqWT9xR1ufVJ z6{;wx2MbEYl@$cR63~rL)Ro=EMFm|biVwtvu0_wCn<;}A=KS9|_n*tm{PS!E9?ihz zG%PM;I^u~mW2{;^F+9N_M#v*-b)vhg(sitJ@CcUUyQXS1HAkq3wKK$6Czs51t&b?m z)ymOv#<^Caj_c}nArbx*NFX>aI?$^^6gBONc3kXn)e#-nib6o2Bnb}D&Sb2gFmzh< ziy0c!!s`XUCOjMK5qOSpI=ofjG!~84)h}tlBY{~V>A*vhRb`wq+LU32bH;#DX7yjj z2L6imwep79|@EEEvEGE;rg=QW8wF>fg??4zwcy^ zh125(J~73~@65yQlg#-}_E`8mZs1_j+}KBxn@wlY$0Z*h^zlc$myE-Ee3jfg_J!ul zNm`ot_HJ|_qXQWo$ml>u2QoU4(ShPd2QoUkPecduKOm<_P#wZksdQfC0WuGec?8eU z?gP5Cb}E0FGEbsYE-z9gWz7X@6i6xIfcvY}sD=6ZhP6@c|1EJF)bK#g#?icuc40SO zDzxICTp91=KR0;`?$1Z@cOg*^t=qSverU7N0vSAMXJHJl+ZNoy=Tw*Q8`Yn<(y`Qm w9AmS%&B?0Y3yj^zQ6~#G@VsL|H!e`^#kW*@5PB`+(+R}BEwKxUsMu7xNWm&p z?LtL7h$0rV5Cy3V2?zx%b>q&*CJ2Ivpja2Kx>fX?+)fz`%=y22&VMg6`R~hVSeS-e zG5GL2p7h5%g%H)s*vPnm5TW$w)$wgTm7eq6!)Ljaal~Jo+)1PG5EcIQw+YcL6-(0y zf)MReubjIeq%=0tN}9Th#Uo#{fHU6`v!6xNW3*Lj#u%@pzNRHcR9T87&XZIod?m8A z)u=e%#i?=0y_xwf;bq@;#%qLA?mot=I2Z`7eM1fDaol5xIp#>br?D0YXzb@UpXH zJK17(THM6BFrWM}Jk)xV2ey+fX1~QvJRS)b4^U@j*?G{$M{Rt<#@}q5qYt(Ae!+#v z{>h&-UCB0Ov_j!9L4^q_Oi*Eh3KO6eCa5q$g$XK5+Q(snx*6o;Om)r_CVad3f38vi zDT6E~UXPk-P7YLZpptW5r0ENK48Ea%h4z@lqy%3@4{PhDXrVz=b30m>l5Yvr8(kaP zjsHh=9@VhamB+r!0F*J34pM!X$>C^v3*M%FJ^kI*Kh: - 0: 2541 c.jal 680 + 0: 2041 c.jal 80 2: 0000 c.unimp 4: 7200 c.flw f8,32(x12) 6: 7369 c.lui x6,0xffffa 8: 01007663 bgeu x0,x16,14 - c: 0000001b 0x1b + c: 0016 c.slli x0,0x5 + e: 0000 c.unimp 10: 1004 c.addi4spn x9,x2,32 12: 7205 c.lui x4,0xfffe1 14: 3376 c.fldsp f6,376(x2) @@ -1397,7 +1398,7 @@ Disassembly of section .riscv.attributes: 1a: 5f30 c.lw x12,120(x14) 1c: 326d c.jal fffff9c6 <__global_pointer$+0xffffcec6> 1e: 3070 c.fld f12,224(x8) - 20: 615f 7032 0030 0x307032615f + ... Disassembly of section .debug_aranges: @@ -1432,10 +1433,10 @@ Disassembly of section .debug_info: 6: 0401 c.addi x8,0 8: 0000 c.unimp a: 0000 c.unimp - c: 3e11 c.jal fffffb20 <__global_pointer$+0xffffd020> + c: 8011 c.srli x8,0x4 e: 0000 c.unimp 10: 1d00 c.addi4spn x8,x2,688 - 12: 005e c.slli x0,0x17 + 12: 0064 c.addi4spn x9,x2,12 14: 0000 c.unimp 16: 0000 c.unimp 18: 0000 c.unimp @@ -1446,44 +1447,42 @@ Disassembly of section .debug_info: 22: 0000 c.unimp 24: 0000 c.unimp 26: 0804 c.addi4spn x9,x2,16 - 28: 00011a07 0x11a07 + 28: 00004c07 flq f24,0(x0) # 0 2c: 1200 c.addi4spn x8,x2,288 2e: 0504 c.addi4spn x9,x2,640 30: 6e69 c.lui x28,0x1a 32: 0074 c.addi4spn x13,x2,12 34: 0404 c.addi4spn x9,x2,512 - 36: 00012407 flw f8,0(x2) + 36: 00005607 0x5607 3a: 0400 c.addi4spn x8,x2,512 3c: 0508 c.addi4spn x10,x2,640 - 3e: 010c c.addi4spn x11,x2,128 + 3e: 003e c.slli x0,0xf 40: 0000 c.unimp 42: 1004 c.addi4spn x9,x2,32 - 44: c104 c.sw x9,0(x10) + 44: bf04 c.fsd f9,56(x14) 46: 0001 c.addi x0,0 48: 0400 c.addi4spn x8,x2,512 4a: 0601 c.addi x12,0 - 4c: 0142 c.slli x2,0x10 + 4c: 0074 c.addi4spn x13,x2,12 4e: 0000 c.unimp 50: 0104 c.addi4spn x9,x2,128 - 52: 4008 c.lw x10,0(x8) - 54: 0001 c.addi x0,0 + 52: 7208 c.flw f10,32(x12) + 54: 0000 c.unimp 56: 0400 c.addi4spn x8,x2,512 58: 0502 c.slli64 x10 5a: 0020 c.addi4spn x8,x2,8 5c: 0000 c.unimp 5e: 0204 c.addi4spn x9,x2,256 - 60: 00017307 0x17307 + 60: 00017107 0x17107 64: 0400 c.addi4spn x8,x2,512 66: 0504 c.addi4spn x9,x2,640 - 68: 0111 c.addi x2,4 - 6a: 0000 c.unimp + 68: 00000043 fmadd.s f0,f0,f0,f0,rne 6c: 0404 c.addi4spn x9,x2,512 - 6e: 00011f07 0x11f07 + 6e: 00005107 0x5107 72: 0400 c.addi4spn x8,x2,512 74: 0801 c.addi x16,0 - 76: 0149 c.addi x2,18 - 78: 0000 c.unimp - 7a: 6206 c.flwsp f4,64(x2) + 76: 0000007b 0x7b + 7a: 6006 c.flwsp f0,64(x2) 7c: 0001 c.addi x0,0 7e: 7b00 c.flw f8,48(x14) 80: 5016 0x5016 @@ -1491,22 +1490,21 @@ Disassembly of section .debug_info: 84: 0900 c.addi4spn x8,x2,144 86: 007a c.slli x0,0x1e 88: 0000 c.unimp - 8a: ce06 c.swsp x1,28(x2) + 8a: cc06 c.swsp x1,24(x2) 8c: 0001 c.addi x0,0 8e: 8000 0x8000 90: 00002d0f 0x2d0f 94: 0600 c.addi4spn x8,x2,768 - 96: 01cd c.addi x3,19 - 98: 0000 c.unimp + 96: 000001cb fnmsub.s f3,f0,f0,f0,rne 9a: 1681 c.addi x13,-32 9c: 0034 c.addi4spn x13,x2,8 9e: 0000 c.unimp - a0: 8706 c.mv x14,x1 + a0: 8506 c.mv x10,x1 a2: 0001 c.addi x0,0 a4: 8400 0x8400 a6: 00003b0f 0x3b0f aa: 0600 c.addi4spn x8,x2,768 - ac: 0186 c.slli x3,0x1 + ac: 0184 c.addi4spn x9,x2,192 ae: 0000 c.unimp b0: 1685 c.addi x13,-31 b2: 0026 c.slli x0,0x9 @@ -1519,16 +1517,16 @@ Disassembly of section .debug_info: c0: 0012 c.slli x0,0x4 c2: 0000 c.unimp c4: 0804 c.addi4spn x9,x2,16 - c6: c604 c.sw x9,8(x12) + c6: c404 c.sw x9,8(x8) c8: 0001 c.addi x0,0 ca: 0400 c.addi4spn x8,x2,512 cc: 0310 c.addi4spn x12,x2,384 - ce: 0198 c.addi4spn x14,x2,192 + ce: 0196 c.slli x3,0x5 d0: 0000 c.unimp d2: 2004 c.fld f9,0(x8) - d4: 0001b903 0x1b903 + d4: 0001b703 0x1b703 d8: 1300 c.addi4spn x8,x2,416 - da: 016a c.slli x2,0x1a + da: 0168 c.addi4spn x10,x2,140 dc: 0000 c.unimp de: 0208 c.addi4spn x10,x2,256 e0: 01ed c.addi x3,27 @@ -1541,8 +1539,7 @@ Disassembly of section .debug_info: f0: 008a c.slli x1,0x2 f2: 0000 c.unimp f4: 1500 c.addi4spn x8,x2,672 - f6: 0131 c.addi x2,12 - f8: 0000 c.unimp + f6: 00000063 beq x0,x0,f6 <__do_global_dtors_aux+0x1e> fa: ed02 c.fswsp f0,152(x2) fc: 1f01 c.addi x30,-32 fe: 008a c.slli x1,0x2 @@ -1581,7 +1578,7 @@ Disassembly of section .debug_info: 146: 3609 c.jal fffffc48 <__global_pointer$+0xffffd148> 148: 0001 c.addi x0,0 14a: 1a00 c.addi4spn x8,x2,304 - 14c: 0136 c.slli x2,0xd + 14c: 0068 c.addi4spn x10,x2,12 14e: 0000 c.unimp 150: 0202 c.slli64 x4 152: 1602 c.slli x12,0x20 @@ -1919,7 +1916,7 @@ Disassembly of section .debug_info: 428: 0000 c.unimp 42a: 0000 c.unimp 42c: 0000 c.unimp - 42e: ac1e c.fsdsp f7,24(x2) + 42e: aa1e c.fsdsp f7,272(x2) 430: 0001 c.addi x0,0 432: 0100 c.addi4spn x8,x2,128 434: ab0103e3 beq x2,x16,fffffeda <__global_pointer$+0xffffd3da> @@ -1994,7 +1991,7 @@ Disassembly of section .debug_info: 4e6: 0500 c.addi4spn x8,x2,640 4e8: 0505 c.addi x10,1 4ea: 0000 c.unimp - 4ec: a702 c.fsdsp f0,392(x2) + 4ec: a502 c.fsdsp f0,136(x2) 4ee: 0001 c.addi x0,0 4f0: 1a00 c.addi4spn x8,x2,304 4f2: 0404 c.addi4spn x9,x2,512 @@ -2018,25 +2015,25 @@ Disassembly of section .debug_info: 51c: 0404 c.addi4spn x9,x2,512 51e: 0095 c.addi x1,5 520: 0000 c.unimp - 522: 9302 c.jalr x6 + 522: 9102 c.jalr x2 524: 0001 c.addi x0,0 526: 2600 c.fld f8,8(x12) 528: 0404 c.addi4spn x9,x2,512 52a: 0095 c.addi x1,5 52c: 0000 c.unimp - 52e: 8e02 c.jr x28 + 52e: 8c02 c.jr x24 530: 0001 c.addi x0,0 532: 2600 c.fld f8,8(x12) 534: 0404 c.addi4spn x9,x2,512 536: 0095 c.addi x1,5 538: 0000 c.unimp - 53a: da02 c.swsp x0,52(x2) + 53a: d802 c.swsp x0,48(x2) 53c: 0001 c.addi x0,0 53e: 2600 c.fld f8,8(x12) 540: 0404 c.addi4spn x9,x2,512 542: 0095 c.addi x1,5 544: 0000 c.unimp - 546: d502 c.swsp x0,168(x2) + 546: d302 c.swsp x0,164(x2) 548: 0001 c.addi x0,0 54a: 2600 c.fld f8,8(x12) 54c: 0404 c.addi4spn x9,x2,512 @@ -2050,7 +2047,7 @@ Disassembly of section .debug_info: 55e: 0500 c.addi4spn x8,x2,640 560: 057d c.addi x10,31 562: 0000 c.unimp - 564: a702 c.fsdsp f0,392(x2) + 564: a502 c.fsdsp f0,136(x2) 566: 0001 c.addi x0,0 568: 3200 c.fld f8,32(x12) 56a: 0404 c.addi4spn x9,x2,512 @@ -2075,25 +2072,25 @@ Disassembly of section .debug_info: 594: 0804 c.addi4spn x9,x2,16 596: 0095 c.addi x1,5 598: 0000 c.unimp - 59a: 9302 c.jalr x6 + 59a: 9102 c.jalr x2 59c: 0001 c.addi x0,0 59e: 4b00 c.lw x8,16(x14) 5a0: 0804 c.addi4spn x9,x2,16 5a2: 0095 c.addi x1,5 5a4: 0000 c.unimp - 5a6: 8e02 c.jr x28 + 5a6: 8c02 c.jr x24 5a8: 0001 c.addi x0,0 5aa: 4b00 c.lw x8,16(x14) 5ac: 0804 c.addi4spn x9,x2,16 5ae: 0095 c.addi x1,5 5b0: 0000 c.unimp - 5b2: da02 c.swsp x0,52(x2) + 5b2: d802 c.swsp x0,48(x2) 5b4: 0001 c.addi x0,0 5b6: 4b00 c.lw x8,16(x14) 5b8: 0804 c.addi4spn x9,x2,16 5ba: 0095 c.addi x1,5 5bc: 0000 c.unimp - 5be: d502 c.swsp x0,168(x2) + 5be: d302 c.swsp x0,164(x2) 5c0: 0001 c.addi x0,0 5c2: 4b00 c.lw x8,16(x14) 5c4: 0804 c.addi4spn x9,x2,16 @@ -2119,25 +2116,25 @@ Disassembly of section .debug_info: 5ee: 0404 c.addi4spn x9,x2,512 5f0: 0095 c.addi x1,5 5f2: 0000 c.unimp - 5f4: 9302 c.jalr x6 + 5f4: 9102 c.jalr x2 5f6: 0001 c.addi x0,0 5f8: 5000 c.lw x8,32(x8) 5fa: 0404 c.addi4spn x9,x2,512 5fc: 0095 c.addi x1,5 5fe: 0000 c.unimp - 600: 8e02 c.jr x28 + 600: 8c02 c.jr x24 602: 0001 c.addi x0,0 604: 5000 c.lw x8,32(x8) 606: 0404 c.addi4spn x9,x2,512 608: 0095 c.addi x1,5 60a: 0000 c.unimp - 60c: da02 c.swsp x0,52(x2) + 60c: d802 c.swsp x0,48(x2) 60e: 0001 c.addi x0,0 610: 5000 c.lw x8,32(x8) 612: 0404 c.addi4spn x9,x2,512 614: 0095 c.addi x1,5 616: 0000 c.unimp - 618: d502 c.swsp x0,168(x2) + 618: d302 c.swsp x0,164(x2) 61a: 0001 c.addi x0,0 61c: 5000 c.lw x8,32(x8) 61e: 0404 c.addi4spn x9,x2,512 @@ -2150,7 +2147,7 @@ Disassembly of section .debug_info: 62e: 0000 c.unimp 630: 0500 c.addi4spn x8,x2,640 632: 0000064f fnmadd.s f12,f0,f0,f0,rne - 636: a702 c.fsdsp f0,392(x2) + 636: a502 c.fsdsp f0,136(x2) 638: 0001 c.addi x0,0 63a: 7300 c.flw f8,32(x14) 63c: 0404 c.addi4spn x9,x2,512 @@ -2193,25 +2190,25 @@ Disassembly of section .debug_info: 690: 9508 0x9508 692: 0000 c.unimp 694: 0200 c.addi4spn x8,x2,256 - 696: 00000193 addi x3,x0,0 + 696: 0191 c.addi x3,4 + 698: 0000 c.unimp 69a: 049c c.addi4spn x15,x2,576 69c: 9508 0x9508 69e: 0000 c.unimp 6a0: 0200 c.addi4spn x8,x2,256 - 6a2: 018e c.slli x3,0x3 + 6a2: 018c c.addi4spn x11,x2,192 6a4: 0000 c.unimp 6a6: 049c c.addi4spn x15,x2,576 6a8: 9508 0x9508 6aa: 0000 c.unimp 6ac: 0200 c.addi4spn x8,x2,256 - 6ae: 01da c.slli x3,0x16 + 6ae: 01d8 c.addi4spn x14,x2,196 6b0: 0000 c.unimp 6b2: 049c c.addi4spn x15,x2,576 6b4: 9508 0x9508 6b6: 0000 c.unimp 6b8: 0200 c.addi4spn x8,x2,256 - 6ba: 01d5 c.addi x3,21 - 6bc: 0000 c.unimp + 6ba: 000001d3 fadd.s f3,f0,f0,rne 6be: 049c c.addi4spn x15,x2,576 6c0: 9508 0x9508 6c2: 0000 c.unimp @@ -2222,30 +2219,30 @@ Disassembly of section .debug_info: 6d0: 0000 c.unimp 6d2: 3805 c.jal ffffff02 <__global_pointer$+0xffffd402> 6d4: 02000007 0x2000007 - 6d8: 014e c.slli x2,0x13 + 6d8: 014c c.addi4spn x11,x2,132 6da: 0000 c.unimp 6dc: 049d c.addi x9,7 6de: 9508 0x9508 6e0: 0000 c.unimp 6e2: 0200 c.addi4spn x8,x2,256 - 6e4: 00000153 fadd.s f2,f0,f0,rne + 6e4: 0151 c.addi x2,20 + 6e6: 0000 c.unimp 6e8: 049d c.addi x9,7 6ea: 9508 0x9508 6ec: 0000 c.unimp 6ee: 0200 c.addi4spn x8,x2,256 - 6f0: 0158 c.addi4spn x14,x2,132 + 6f0: 0156 c.slli x2,0x15 6f2: 0000 c.unimp 6f4: 049d c.addi x9,7 6f6: 9508 0x9508 6f8: 0000 c.unimp 6fa: 0200 c.addi4spn x8,x2,256 - 6fc: 015d c.addi x2,23 - 6fe: 0000 c.unimp + 6fc: 0000015b 0x15b 700: 049d c.addi x9,7 702: 9508 0x9508 704: 0000 c.unimp 706: 0200 c.addi4spn x8,x2,256 - 708: 01e4 c.addi4spn x9,x2,204 + 708: 01e2 c.slli x3,0x18 70a: 0000 c.unimp 70c: 049d c.addi x9,7 70e: 9508 0x9508 @@ -2257,7 +2254,9 @@ Disassembly of section .debug_info: 71a: 9508 0x9508 71c: 0000 c.unimp 71e: 0200 c.addi4spn x8,x2,256 - 720: 01df 0000 049d 0x49d000001df + 720: 01dd c.addi x3,23 + 722: 0000 c.unimp + 724: 049d c.addi x9,7 726: 9508 0x9508 728: 0000 c.unimp 72a: 0200 c.addi4spn x8,x2,256 @@ -2287,9 +2286,8 @@ Disassembly of section .debug_info: 768: a604 c.fsd f9,8(x12) 76a: 0001 c.addi x0,0 76c: 0300 c.addi4spn x8,x2,384 - 76e: 01e9 c.addi x3,26 - 770: 0000 c.unimp - 772: 5e1d c.li x28,-25 + 76e: 000001e7 jalr x3,0(x0) # 0 + 772: 641d c.lui x8,0x7 774: 0000 c.unimp 776: 0000 c.unimp 778: 0000 c.unimp @@ -2299,42 +2297,41 @@ Disassembly of section .debug_info: 782: 6e69 c.lui x28,0x1a 784: 0074 c.addi4spn x13,x2,12 786: 0401 c.addi x8,0 - 788: 00012407 flw f8,0(x2) + 788: 00005607 0x5607 78c: 0100 c.addi4spn x8,x2,128 78e: 0508 c.addi4spn x10,x2,640 - 790: 010c c.addi4spn x11,x2,128 + 790: 003e c.slli x0,0xf 792: 0000 c.unimp 794: 1001 c.addi x0,-32 - 796: c104 c.sw x9,0(x10) + 796: bf04 c.fsd f9,56(x14) 798: 0001 c.addi x0,0 79a: 0100 c.addi4spn x8,x2,128 79c: 0601 c.addi x12,0 - 79e: 0142 c.slli x2,0x10 + 79e: 0074 c.addi4spn x13,x2,12 7a0: 0000 c.unimp 7a2: 0101 c.addi x2,0 - 7a4: 4008 c.lw x10,0(x8) - 7a6: 0001 c.addi x0,0 + 7a4: 7208 c.flw f10,32(x12) + 7a6: 0000 c.unimp 7a8: 0100 c.addi4spn x8,x2,128 7aa: 0502 c.slli64 x10 7ac: 0020 c.addi4spn x8,x2,8 7ae: 0000 c.unimp 7b0: 0201 c.addi x4,0 - 7b2: 00017307 0x17307 + 7b2: 00017107 0x17107 7b6: 0100 c.addi4spn x8,x2,128 7b8: 0504 c.addi4spn x9,x2,640 - 7ba: 0111 c.addi x2,4 - 7bc: 0000 c.unimp + 7ba: 00000043 fmadd.s f0,f0,f0,f0,rne 7be: 0401 c.addi x8,0 - 7c0: 00011f07 0x11f07 + 7c0: 00005107 0x5107 7c4: 0100 c.addi4spn x8,x2,128 7c6: 0708 c.addi4spn x10,x2,896 - 7c8: 011a c.slli x2,0x6 + 7c8: 004c c.addi4spn x11,x2,4 7ca: 0000 c.unimp 7cc: 0101 c.addi x2,0 - 7ce: 4908 c.lw x10,16(x10) - 7d0: 0001 c.addi x0,0 + 7ce: 7b08 c.flw f10,48(x14) + 7d0: 0000 c.unimp 7d2: 0500 c.addi4spn x8,x2,640 - 7d4: 0162 c.slli x2,0x18 + 7d4: 0160 c.addi4spn x8,x2,140 7d6: 0000 c.unimp 7d8: 7b01 c.lui x22,0xfffe0 7da: 4116 c.lwsp x2,68(x2) @@ -2350,14 +2347,14 @@ Disassembly of section .debug_info: 7ee: 0012 c.slli x0,0x4 7f0: 0000 c.unimp 7f2: 0801 c.addi x16,0 - 7f4: c604 c.sw x9,8(x12) + 7f4: c404 c.sw x9,8(x8) 7f6: 0001 c.addi x0,0 7f8: 0100 c.addi4spn x8,x2,128 7fa: 0310 c.addi4spn x12,x2,384 - 7fc: 0198 c.addi4spn x14,x2,192 + 7fc: 0196 c.slli x3,0x5 7fe: 0000 c.unimp 800: 2001 c.jal 800 - 802: 0001b903 0x1b903 + 802: 0001b703 0x1b703 806: 0600 c.addi4spn x8,x2,768 808: 007e c.slli x0,0x1f 80a: 0000 c.unimp @@ -2368,8 +2365,8 @@ Disassembly of section .debug_info: 816: 0200 c.addi4spn x8,x2,256 818: 00a6 c.slli x1,0x9 81a: 0000 c.unimp - 81c: 3608 c.fld f10,40(x12) - 81e: 0001 c.addi x0,0 + 81c: 6808 c.flw f10,16(x8) + 81e: 0000 c.unimp 820: 0100 c.addi4spn x8,x2,128 822: 0202 c.slli64 x4 824: b616 c.fsdsp f5,296(x2) @@ -2591,15 +2588,15 @@ Disassembly of section .debug_line: 1c: 0100 c.addi4spn x8,x2,128 1e: 0101 c.addi x2,0 20: 021f 0000 0000 0x21f - 26: 0084 c.addi4spn x9,x2,64 + 26: 008a c.slli x1,0x2 28: 0000 c.unimp 2a: 0102 c.slli64 x2 - 2c: 021f 030f 005e 0x5e030f021f + 2c: 021f 030f 0064 0x64030f021f 32: 0000 c.unimp - 34: 7a00 c.flw f8,48(x12) + 34: 8000 0x8000 36: 0000 c.unimp 38: 0100 c.addi4spn x8,x2,128 - 3a: 00a0 c.addi4spn x8,x2,72 + 3a: 00a6 c.slli x1,0x9 3c: 0000 c.unimp 3e: 0501 c.addi x10,0 40: 0001 c.addi x0,0 @@ -2735,7 +2732,7 @@ Disassembly of section .debug_line: 160: 0100 c.addi4spn x8,x2,128 162: 0405 c.addi x8,1 164: 0306 c.slli x6,0x1 - 166: 00040903 lb x18,0(x8) + 166: 00040903 lb x18,0(x8) # 7000 <__global_pointer$+0x4500> 16a: 0301 c.addi x6,0 16c: 0900 c.addi4spn x8,x2,144 16e: 0000 c.unimp @@ -3329,16 +3326,16 @@ Disassembly of section .debug_line: 746: 1f01 c.addi x30,-32 748: 0002 c.slli64 x0 74a: 0000 c.unimp - 74c: 8400 0x8400 + 74c: 8a00 0x8a00 74e: 0000 c.unimp 750: 0200 c.addi4spn x8,x2,256 752: 1f01 c.addi x30,-32 754: 0f02 c.slli64 x30 - 756: 00005e03 lhu x28,0(x0) # 0 + 756: 00006403 0x6403 75a: 0000 c.unimp - 75c: 00a0 c.addi4spn x8,x2,72 + 75c: 00a6 c.slli x1,0x9 75e: 0000 c.unimp - 760: 7a01 c.lui x20,0xfffe0 + 760: 8001 c.srli64 x8 762: 0000 c.unimp 764: 0100 c.addi4spn x8,x2,128 @@ -3365,246 +3362,243 @@ Disassembly of section .debug_str: 30: 645f 0031 5f5f 0x5f5f0031645f 36: 6876 c.flwsp f16,92(x2) 38: 5f00 c.lw x8,56(x14) - 3a: 765f 006c 4e47 0x4e47006c765f - 40: 2055 c.jal e4 <__do_global_dtors_aux+0xc> - 42: 20373143 fmadd.s f2,f14,f3,f4,rup - 46: 3131 c.jal fffffc52 <__global_pointer$+0xffffd152> - 48: 312e c.fldsp f2,232(x2) - 4a: 302e c.fldsp f0,232(x2) - 4c: 2d20 c.fld f8,88(x10) - 4e: 636d c.lui x6,0x1b - 50: 6f6d c.lui x30,0x1b - 52: 6564 c.flw f9,76(x10) - 54: 3d6c c.fld f11,248(x10) - 56: 656d c.lui x10,0x1b - 58: 6c64 c.flw f9,92(x8) - 5a: 2d20776f jal x14,732c <__global_pointer$+0x482c> - 5e: 636d c.lui x6,0x1b - 60: 6f6d c.lui x30,0x1b - 62: 6564 c.flw f9,76(x10) - 64: 3d6c c.fld f11,248(x10) - 66: 656d c.lui x10,0x1b - 68: 6c64 c.flw f9,92(x8) - 6a: 2d20776f jal x14,733c <__global_pointer$+0x483c> - 6e: 746d c.lui x8,0xffffb - 70: 6e75 c.lui x28,0x1d - 72: 3d65 c.jal ffffff2a <__global_pointer$+0xffffd42a> - 74: 6f72 c.flwsp f30,28(x2) - 76: 74656b63 bltu x10,x6,7cc - 7a: 2d20 c.fld f8,88(x10) - 7c: 616d c.addi16sp x2,240 - 7e: 6372 c.flwsp f6,28(x2) - 80: 3d68 c.fld f10,248(x10) - 82: 7672 c.flwsp f12,60(x2) - 84: 6d693233 0x6d693233 - 88: 2061 c.jal 110 <__do_global_dtors_aux+0x38> - 8a: 6d2d c.lui x26,0xb - 8c: 6261 c.lui x4,0x18 - 8e: 3d69 c.jal ffffff28 <__global_pointer$+0xffffd428> - 90: 6c69 c.lui x24,0x1a - 92: 3370 c.fld f12,224(x14) - 94: 2032 c.fldsp f0,264(x2) - 96: 6d2d c.lui x26,0xb - 98: 7261 c.lui x4,0xffff8 - 9a: 723d6863 bltu x26,x3,7ca - 9e: 3376 c.fldsp f6,376(x2) - a0: 6932 c.flwsp f18,12(x2) - a2: 616d c.addi16sp x2,240 - a4: 2d20 c.fld f8,88(x10) - a6: 4f2d2067 0x4f2d2067 - aa: 4f2d2073 csrrs x0,0x4f2,x26 - ae: 2032 c.fldsp f0,264(x2) - b0: 4f2d c.li x30,11 - b2: 662d2073 csrrs x0,0x662,x26 - b6: 7562 c.flwsp f10,56(x2) - b8: 6c69 c.lui x24,0x1a - ba: 6964 c.flw f9,84(x10) - bc: 676e c.flwsp f14,216(x2) - be: 6c2d c.lui x24,0xb - c0: 6269 c.lui x4,0x1a - c2: 20636367 0x20636367 - c6: 662d c.lui x12,0xb - c8: 6f6e c.flwsp f30,216(x2) - ca: 732d c.lui x6,0xfffeb - cc: 6174 c.flw f13,68(x10) - ce: 702d6b63 bltu x26,x2,7e4 - d2: 6f72 c.flwsp f30,28(x2) - d4: 6574 c.flw f13,76(x10) - d6: 726f7463 bgeu x30,x6,7fe - da: 2d20 c.fld f8,88(x10) - dc: 6166 c.flwsp f2,88(x2) - de: 636e7973 csrrci x18,0x636,28 - e2: 7268 c.flw f10,100(x12) - e4: 756f6e6f jal x28,f683a <__global_pointer$+0xf3d3a> - e8: 6e752d73 csrrs x26,0x6e7,x10 - ec: 646e6977 0x646e6977 - f0: 742d c.lui x8,0xfffeb - f2: 6261 c.lui x4,0x18 - f4: 656c c.flw f11,76(x10) - f6: 662d2073 csrrs x0,0x662,x26 - fa: 6976 c.flwsp f18,92(x2) - fc: 69626973 csrrsi x18,0x696,4 - 100: 696c c.flw f11,84(x10) - 102: 7974 c.flw f13,116(x10) - 104: 683d c.lui x16,0xf - 106: 6469 c.lui x8,0x1a - 108: 6564 c.flw f9,76(x10) - 10a: 006e c.slli x0,0x1b - 10c: 6f6c c.flw f11,92(x14) - 10e: 676e c.flwsp f14,216(x2) - 110: 6c20 c.flw f8,88(x8) - 112: 20676e6f jal x28,76318 <__global_pointer$+0x73818> - 116: 6e69 c.lui x28,0x1a - 118: 0074 c.addi4spn x13,x2,12 - 11a: 6f6c c.flw f11,92(x14) - 11c: 676e c.flwsp f14,216(x2) - 11e: 6c20 c.flw f8,88(x8) - 120: 20676e6f jal x28,76326 <__global_pointer$+0x73826> - 124: 6e75 c.lui x28,0x1d - 126: 6e676973 csrrsi x18,0x6e6,14 - 12a: 6465 c.lui x8,0x19 - 12c: 6920 c.flw f8,80(x10) - 12e: 746e c.flwsp f8,248(x2) - 130: 6800 c.flw f8,16(x8) - 132: 6769 c.lui x14,0x1a - 134: 0068 c.addi4spn x10,x2,12 - 136: 5f5f 6c63 5f7a 0x5f7a6c635f5f - 13c: 6174 c.flw f13,68(x10) - 13e: 0062 c.slli x0,0x18 - 140: 6e75 c.lui x28,0x1d - 142: 6e676973 csrrsi x18,0x6e6,14 - 146: 6465 c.lui x8,0x19 - 148: 6320 c.flw f8,64(x14) - 14a: 6168 c.flw f10,68(x10) - 14c: 0072 c.slli x0,0x1c - 14e: 5f5f 3078 5f00 0x5f0030785f5f - 154: 785f 0031 5f5f 0x5f5f0031785f - 15a: 3278 c.fld f14,224(x12) - 15c: 5f00 c.lw x8,56(x14) - 15e: 785f 0033 5155 0x51550033785f - 164: 7449 c.lui x8,0xffff2 - 166: 7079 c.lui x0,0xffffe - 168: 0065 c.addi x0,25 - 16a: 5744 c.lw x9,44(x14) - 16c: 75727473 csrrci x8,0x757,4 - 170: 73007463 bgeu x0,x16,898 - 174: 6f68 c.flw f10,92(x14) - 176: 7472 c.flwsp f8,60(x2) - 178: 7520 c.flw f8,104(x10) - 17a: 736e c.flwsp f6,248(x2) - 17c: 6769 c.lui x14,0x1a - 17e: 656e c.flwsp f10,216(x2) - 180: 2064 c.fld f9,192(x8) - 182: 6e69 c.lui x28,0x1a - 184: 0074 c.addi4spn x13,x2,12 - 186: 4455 c.li x8,21 - 188: 7449 c.lui x8,0xffff2 - 18a: 7079 c.lui x0,0xffffe - 18c: 0065 c.addi x0,25 - 18e: 5f5f 3071 5f00 0x5f0030715f5f - 194: 715f 0031 6f63 0x6f630031715f - 19a: 706d c.lui x0,0xffffb - 19c: 656c c.flw f11,76(x10) - 19e: 2078 c.fld f14,192(x8) - 1a0: 6f64 c.flw f9,92(x14) - 1a2: 6275 c.lui x4,0x1d - 1a4: 656c c.flw f11,76(x10) - 1a6: 5f00 c.lw x8,56(x14) - 1a8: 785f 0072 5f5f 0x5f5f0072785f - 1ae: 6475 c.lui x8,0x1d - 1b0: 7669 c.lui x12,0xffffa - 1b2: 6f6d c.lui x30,0x1b - 1b4: 6464 c.flw f9,76(x8) - 1b6: 3469 c.jal fffffc40 <__global_pointer$+0xffffd140> - 1b8: 6300 c.flw f8,0(x14) - 1ba: 6c706d6f jal x26,7080 <__global_pointer$+0x4580> - 1be: 7865 c.lui x16,0xffff9 - 1c0: 6c20 c.flw f8,88(x8) - 1c2: 20676e6f jal x28,763c8 <__global_pointer$+0x738c8> - 1c6: 6f64 c.flw f9,92(x14) - 1c8: 6275 c.lui x4,0x1d - 1ca: 656c c.flw f11,76(x10) - 1cc: 5500 c.lw x8,40(x10) - 1ce: 79744953 0x79744953 - 1d2: 6570 c.flw f12,76(x10) - 1d4: 5f00 c.lw x8,56(x14) - 1d6: 725f 0030 5f5f 0x5f5f0030725f - 1dc: 3172 c.fldsp f2,312(x2) - 1de: 5f00 c.lw x8,56(x14) - 1e0: 755f 0068 5f5f 0x5f5f0068755f - 1e6: 6c75 c.lui x24,0x1d - 1e8: 4700 c.lw x8,8(x14) - 1ea: 554e c.lwsp x10,240(x2) - 1ec: 4320 c.lw x8,64(x14) - 1ee: 3731 c.jal fa <__do_global_dtors_aux+0x22> - 1f0: 3120 c.fld f8,96(x10) + 3a: 765f 006c 6f6c 0x6f6c006c765f + 40: 676e c.flwsp f14,216(x2) + 42: 6c20 c.flw f8,88(x8) + 44: 20676e6f jal x28,7624a <__global_pointer$+0x7374a> + 48: 6e69 c.lui x28,0x1a + 4a: 0074 c.addi4spn x13,x2,12 + 4c: 6f6c c.flw f11,92(x14) + 4e: 676e c.flwsp f14,216(x2) + 50: 6c20 c.flw f8,88(x8) + 52: 20676e6f jal x28,76258 <__global_pointer$+0x73758> + 56: 6e75 c.lui x28,0x1d + 58: 6e676973 csrrsi x18,0x6e6,14 + 5c: 6465 c.lui x8,0x19 + 5e: 6920 c.flw f8,80(x10) + 60: 746e c.flwsp f8,248(x2) + 62: 6800 c.flw f8,16(x8) + 64: 6769 c.lui x14,0x1a + 66: 0068 c.addi4spn x10,x2,12 + 68: 5f5f 6c63 5f7a 0x5f7a6c635f5f + 6e: 6174 c.flw f13,68(x10) + 70: 0062 c.slli x0,0x18 + 72: 6e75 c.lui x28,0x1d + 74: 6e676973 csrrsi x18,0x6e6,14 + 78: 6465 c.lui x8,0x19 + 7a: 6320 c.flw f8,64(x14) + 7c: 6168 c.flw f10,68(x10) + 7e: 0072 c.slli x0,0x1c + 80: 20554e47 fmsub.s f28,f10,f5,f4,rmm + 84: 20373143 fmadd.s f2,f14,f3,f4,rup + 88: 3131 c.jal fffffc94 <__global_pointer$+0xffffd194> + 8a: 312e c.fldsp f2,232(x2) + 8c: 302e c.fldsp f0,232(x2) + 8e: 2d20 c.fld f8,88(x10) + 90: 636d c.lui x6,0x1b + 92: 6f6d c.lui x30,0x1b + 94: 6564 c.flw f9,76(x10) + 96: 3d6c c.fld f11,248(x10) + 98: 656d c.lui x10,0x1b + 9a: 6c64 c.flw f9,92(x8) + 9c: 2d20776f jal x14,736e <__global_pointer$+0x486e> + a0: 636d c.lui x6,0x1b + a2: 6f6d c.lui x30,0x1b + a4: 6564 c.flw f9,76(x10) + a6: 3d6c c.fld f11,248(x10) + a8: 656d c.lui x10,0x1b + aa: 6c64 c.flw f9,92(x8) + ac: 2d20776f jal x14,737e <__global_pointer$+0x487e> + b0: 746d c.lui x8,0xffffb + b2: 6e75 c.lui x28,0x1d + b4: 3d65 c.jal ffffff6c <__global_pointer$+0xffffd46c> + b6: 6f72 c.flwsp f30,28(x2) + b8: 74656b63 bltu x10,x6,80e + bc: 2d20 c.fld f8,88(x10) + be: 616d c.addi16sp x2,240 + c0: 6372 c.flwsp f6,28(x2) + c2: 3d68 c.fld f10,248(x10) + c4: 7672 c.flwsp f12,60(x2) + c6: 6d693233 0x6d693233 + ca: 2d20 c.fld f8,88(x10) + cc: 616d c.addi16sp x2,240 + ce: 6962 c.flwsp f18,24(x2) + d0: 693d c.lui x18,0xf + d2: 706c c.flw f11,100(x8) + d4: 2d203233 0x2d203233 + d8: 616d c.addi16sp x2,240 + da: 6372 c.flwsp f6,28(x2) + dc: 3d68 c.fld f10,248(x10) + de: 7672 c.flwsp f12,60(x2) + e0: 6d693233 0x6d693233 + e4: 2d20 c.fld f8,88(x10) + e6: 4f2d2067 0x4f2d2067 + ea: 4f2d2073 csrrs x0,0x4f2,x26 + ee: 2032 c.fldsp f0,264(x2) + f0: 4f2d c.li x30,11 + f2: 662d2073 csrrs x0,0x662,x26 + f6: 7562 c.flwsp f10,56(x2) + f8: 6c69 c.lui x24,0x1a + fa: 6964 c.flw f9,84(x10) + fc: 676e c.flwsp f14,216(x2) + fe: 6c2d c.lui x24,0xb + 100: 6269 c.lui x4,0x1a + 102: 20636367 0x20636367 + 106: 662d c.lui x12,0xb + 108: 6f6e c.flwsp f30,216(x2) + 10a: 732d c.lui x6,0xfffeb + 10c: 6174 c.flw f13,68(x10) + 10e: 702d6b63 bltu x26,x2,824 + 112: 6f72 c.flwsp f30,28(x2) + 114: 6574 c.flw f13,76(x10) + 116: 726f7463 bgeu x30,x6,83e + 11a: 2d20 c.fld f8,88(x10) + 11c: 6166 c.flwsp f2,88(x2) + 11e: 636e7973 csrrci x18,0x636,28 + 122: 7268 c.flw f10,100(x12) + 124: 756f6e6f jal x28,f687a <__global_pointer$+0xf3d7a> + 128: 6e752d73 csrrs x26,0x6e7,x10 + 12c: 646e6977 0x646e6977 + 130: 742d c.lui x8,0xfffeb + 132: 6261 c.lui x4,0x18 + 134: 656c c.flw f11,76(x10) + 136: 662d2073 csrrs x0,0x662,x26 + 13a: 6976 c.flwsp f18,92(x2) + 13c: 69626973 csrrsi x18,0x696,4 + 140: 696c c.flw f11,84(x10) + 142: 7974 c.flw f13,116(x10) + 144: 683d c.lui x16,0xf + 146: 6469 c.lui x8,0x1a + 148: 6564 c.flw f9,76(x10) + 14a: 006e c.slli x0,0x1b + 14c: 5f5f 3078 5f00 0x5f0030785f5f + 152: 785f 0031 5f5f 0x5f5f0031785f + 158: 3278 c.fld f14,224(x12) + 15a: 5f00 c.lw x8,56(x14) + 15c: 785f 0033 5155 0x51550033785f + 162: 7449 c.lui x8,0xffff2 + 164: 7079 c.lui x0,0xffffe + 166: 0065 c.addi x0,25 + 168: 5744 c.lw x9,44(x14) + 16a: 75727473 csrrci x8,0x757,4 + 16e: 73007463 bgeu x0,x16,896 + 172: 6f68 c.flw f10,92(x14) + 174: 7472 c.flwsp f8,60(x2) + 176: 7520 c.flw f8,104(x10) + 178: 736e c.flwsp f6,248(x2) + 17a: 6769 c.lui x14,0x1a + 17c: 656e c.flwsp f10,216(x2) + 17e: 2064 c.fld f9,192(x8) + 180: 6e69 c.lui x28,0x1a + 182: 0074 c.addi4spn x13,x2,12 + 184: 4455 c.li x8,21 + 186: 7449 c.lui x8,0xffff2 + 188: 7079 c.lui x0,0xffffe + 18a: 0065 c.addi x0,25 + 18c: 5f5f 3071 5f00 0x5f0030715f5f + 192: 715f 0031 6f63 0x6f630031715f + 198: 706d c.lui x0,0xffffb + 19a: 656c c.flw f11,76(x10) + 19c: 2078 c.fld f14,192(x8) + 19e: 6f64 c.flw f9,92(x14) + 1a0: 6275 c.lui x4,0x1d + 1a2: 656c c.flw f11,76(x10) + 1a4: 5f00 c.lw x8,56(x14) + 1a6: 785f 0072 5f5f 0x5f5f0072785f + 1ac: 6475 c.lui x8,0x1d + 1ae: 7669 c.lui x12,0xffffa + 1b0: 6f6d c.lui x30,0x1b + 1b2: 6464 c.flw f9,76(x8) + 1b4: 3469 c.jal fffffc3e <__global_pointer$+0xffffd13e> + 1b6: 6300 c.flw f8,0(x14) + 1b8: 6c706d6f jal x26,707e <__global_pointer$+0x457e> + 1bc: 7865 c.lui x16,0xffff9 + 1be: 6c20 c.flw f8,88(x8) + 1c0: 20676e6f jal x28,763c6 <__global_pointer$+0x738c6> + 1c4: 6f64 c.flw f9,92(x14) + 1c6: 6275 c.lui x4,0x1d + 1c8: 656c c.flw f11,76(x10) + 1ca: 5500 c.lw x8,40(x10) + 1cc: 79744953 0x79744953 + 1d0: 6570 c.flw f12,76(x10) + 1d2: 5f00 c.lw x8,56(x14) + 1d4: 725f 0030 5f5f 0x5f5f0030725f + 1da: 3172 c.fldsp f2,312(x2) + 1dc: 5f00 c.lw x8,56(x14) + 1de: 755f 0068 5f5f 0x5f5f0068755f + 1e4: 6c75 c.lui x24,0x1d + 1e6: 4700 c.lw x8,8(x14) + 1e8: 554e c.lwsp x10,240(x2) + 1ea: 4320 c.lw x8,64(x14) + 1ec: 3731 c.jal f8 <__do_global_dtors_aux+0x20> + 1ee: 3120 c.fld f8,96(x10) + 1f0: 2e31 c.jal 50c 1f2: 2e31 c.jal 50e - 1f4: 2e31 c.jal 510 - 1f6: 2030 c.fld f12,64(x8) - 1f8: 6d2d c.lui x26,0xb - 1fa: 646f6d63 bltu x30,x6,854 - 1fe: 6c65 c.lui x24,0x19 - 200: 6d3d c.lui x26,0xf - 202: 6465 c.lui x8,0x19 - 204: 6f6c c.flw f11,92(x14) - 206: 6d2d2077 0x6d2d2077 - 20a: 646f6d63 bltu x30,x6,864 - 20e: 6c65 c.lui x24,0x19 - 210: 6d3d c.lui x26,0xf - 212: 6465 c.lui x8,0x19 - 214: 6f6c c.flw f11,92(x14) - 216: 6d2d2077 0x6d2d2077 - 21a: 7574 c.flw f13,108(x10) - 21c: 656e c.flwsp f10,216(x2) - 21e: 723d c.lui x4,0xfffef - 220: 656b636f jal x6,b6876 <__global_pointer$+0xb3d76> - 224: 2074 c.fld f13,192(x8) - 226: 6d2d c.lui x26,0xb - 228: 7261 c.lui x4,0xffff8 - 22a: 723d6863 bltu x26,x3,95a <__udivdi3+0x1a> - 22e: 3376 c.fldsp f6,376(x2) - 230: 6932 c.flwsp f18,12(x2) - 232: 616d c.addi16sp x2,240 - 234: 2d20 c.fld f8,88(x10) - 236: 616d c.addi16sp x2,240 - 238: 6962 c.flwsp f18,24(x2) - 23a: 693d c.lui x18,0xf - 23c: 706c c.flw f11,100(x8) - 23e: 2d203233 0x2d203233 - 242: 616d c.addi16sp x2,240 - 244: 6372 c.flwsp f6,28(x2) - 246: 3d68 c.fld f10,248(x10) - 248: 7672 c.flwsp f12,60(x2) - 24a: 6d693233 0x6d693233 - 24e: 2061 c.jal 2d6 - 250: 672d c.lui x14,0xb - 252: 2d20 c.fld f8,88(x10) - 254: 2d20734f 0x2d20734f - 258: 2d20324f 0x2d20324f - 25c: 2d20734f 0x2d20734f - 260: 6266 c.flwsp f4,88(x2) - 262: 6975 c.lui x18,0x1d - 264: 646c c.flw f11,76(x8) - 266: 6e69 c.lui x28,0x1a - 268: 696c2d67 0x696c2d67 - 26c: 6762 c.flwsp f14,24(x2) - 26e: 2d206363 bltu x0,x18,534 - 272: 6e66 c.flwsp f28,88(x2) - 274: 74732d6f jal x26,331ba <__global_pointer$+0x306ba> - 278: 6361 c.lui x6,0x18 - 27a: 72702d6b 0x72702d6b - 27e: 6365746f jal x8,578b4 <__global_pointer$+0x54db4> - 282: 6f74 c.flw f13,92(x14) - 284: 2072 c.fldsp f0,280(x2) - 286: 662d c.lui x12,0xb - 288: 6976 c.flwsp f18,92(x2) - 28a: 69626973 csrrsi x18,0x696,4 - 28e: 696c c.flw f11,84(x10) - 290: 7974 c.flw f13,116(x10) - 292: 683d c.lui x16,0xf - 294: 6469 c.lui x8,0x1a - 296: 6564 c.flw f9,76(x10) - 298: 006e c.slli x0,0x1b + 1f4: 2030 c.fld f12,64(x8) + 1f6: 6d2d c.lui x26,0xb + 1f8: 646f6d63 bltu x30,x6,852 + 1fc: 6c65 c.lui x24,0x19 + 1fe: 6d3d c.lui x26,0xf + 200: 6465 c.lui x8,0x19 + 202: 6f6c c.flw f11,92(x14) + 204: 6d2d2077 0x6d2d2077 + 208: 646f6d63 bltu x30,x6,862 + 20c: 6c65 c.lui x24,0x19 + 20e: 6d3d c.lui x26,0xf + 210: 6465 c.lui x8,0x19 + 212: 6f6c c.flw f11,92(x14) + 214: 6d2d2077 0x6d2d2077 + 218: 7574 c.flw f13,108(x10) + 21a: 656e c.flwsp f10,216(x2) + 21c: 723d c.lui x4,0xfffef + 21e: 656b636f jal x6,b6874 <__global_pointer$+0xb3d74> + 222: 2074 c.fld f13,192(x8) + 224: 6d2d c.lui x26,0xb + 226: 7261 c.lui x4,0xffff8 + 228: 723d6863 bltu x26,x3,958 <__udivdi3+0x18> + 22c: 3376 c.fldsp f6,376(x2) + 22e: 6932 c.flwsp f18,12(x2) + 230: 206d c.jal 2da + 232: 6d2d c.lui x26,0xb + 234: 6261 c.lui x4,0x18 + 236: 3d69 c.jal d0 <_start+0x44> + 238: 6c69 c.lui x24,0x1a + 23a: 3370 c.fld f12,224(x14) + 23c: 2032 c.fldsp f0,264(x2) + 23e: 6d2d c.lui x26,0xb + 240: 7261 c.lui x4,0xffff8 + 242: 723d6863 bltu x26,x3,972 <__udivdi3+0x32> + 246: 3376 c.fldsp f6,376(x2) + 248: 6932 c.flwsp f18,12(x2) + 24a: 206d c.jal 2f4 + 24c: 672d c.lui x14,0xb + 24e: 2d20 c.fld f8,88(x10) + 250: 2d20734f 0x2d20734f + 254: 2d20324f 0x2d20324f + 258: 2d20734f 0x2d20734f + 25c: 6266 c.flwsp f4,88(x2) + 25e: 6975 c.lui x18,0x1d + 260: 646c c.flw f11,76(x8) + 262: 6e69 c.lui x28,0x1a + 264: 696c2d67 0x696c2d67 + 268: 6762 c.flwsp f14,24(x2) + 26a: 2d206363 bltu x0,x18,530 + 26e: 6e66 c.flwsp f28,88(x2) + 270: 74732d6f jal x26,331b6 <__global_pointer$+0x306b6> + 274: 6361 c.lui x6,0x18 + 276: 72702d6b 0x72702d6b + 27a: 6365746f jal x8,578b0 <__global_pointer$+0x54db0> + 27e: 6f74 c.flw f13,92(x14) + 280: 2072 c.fldsp f0,280(x2) + 282: 662d c.lui x12,0xb + 284: 6976 c.flwsp f18,92(x2) + 286: 69626973 csrrsi x18,0x696,4 + 28a: 696c c.flw f11,84(x10) + 28c: 7974 c.flw f13,116(x10) + 28e: 683d c.lui x16,0xf + 290: 6469 c.lui x8,0x1a + 292: 6564 c.flw f9,76(x10) + 294: 006e c.slli x0,0x1b Disassembly of section .debug_line_str: @@ -3617,55 +3611,57 @@ Disassembly of section .debug_line_str: e: 2f676e6f jal x28,76304 <__global_pointer$+0x73804> 12: 6b726f77 0x6b726f77 16: 7369722f 0x7369722f - 1a: 672d7663 bgeu x26,x18,686 - 1e: 756e c.flwsp f10,248(x2) - 20: 742d c.lui x8,0xfffeb - 22: 636c6f6f jal x30,c6658 <__global_pointer$+0xc3b58> - 26: 6168 c.flw f10,68(x10) - 28: 6e69 c.lui x28,0x1a - 2a: 6975622f 0x6975622f - 2e: 646c c.flw f11,76(x8) - 30: 672d c.lui x14,0xb - 32: 6e2d6363 bltu x26,x2,718 - 36: 7765 c.lui x14,0xffff9 - 38: 696c c.flw f11,84(x10) - 3a: 2d62 c.fldsp f26,24(x2) - 3c: 67617473 csrrci x8,0x676,2 - 40: 3265 c.jal fffff9e8 <__global_pointer$+0xffffcee8> - 42: 7369722f 0x7369722f - 46: 32337663 bgeu x6,x3,372 - 4a: 752d c.lui x10,0xfffeb - 4c: 6b6e c.flwsp f22,216(x2) - 4e: 6f6e c.flwsp f30,216(x2) - 50: 652d6e77 0x652d6e77 - 54: 666c c.flw f11,76(x12) - 56: 62696c2f 0x62696c2f - 5a: 00636367 0x636367 - 5e: 2e2e c.fldsp f28,200(x2) - 60: 2f2e2e2f 0x2f2e2e2f + 1a: 722f7663 bgeu x30,x2,746 + 1e: 7369 c.lui x6,0xffffa + 20: 672d7663 bgeu x26,x18,68c + 24: 756e c.flwsp f10,248(x2) + 26: 742d c.lui x8,0xfffeb + 28: 636c6f6f jal x30,c665e <__global_pointer$+0xc3b5e> + 2c: 6168 c.flw f10,68(x10) + 2e: 6e69 c.lui x28,0x1a + 30: 6975622f 0x6975622f + 34: 646c c.flw f11,76(x8) + 36: 672d c.lui x14,0xb + 38: 6e2d6363 bltu x26,x2,71e + 3c: 7765 c.lui x14,0xffff9 + 3e: 696c c.flw f11,84(x10) + 40: 2d62 c.fldsp f26,24(x2) + 42: 67617473 csrrci x8,0x676,2 + 46: 3265 c.jal fffff9ee <__global_pointer$+0xffffceee> + 48: 7369722f 0x7369722f + 4c: 32337663 bgeu x6,x3,378 + 50: 752d c.lui x10,0xfffeb + 52: 6b6e c.flwsp f22,216(x2) + 54: 6f6e c.flwsp f30,216(x2) + 56: 652d6e77 0x652d6e77 + 5a: 666c c.flw f11,76(x12) + 5c: 62696c2f 0x62696c2f + 60: 00636367 0x636367 64: 2e2e c.fldsp f28,200(x2) - 66: 722f2e2f 0x722f2e2f - 6a: 7369 c.lui x6,0xffffa - 6c: 672d7663 bgeu x26,x18,6d8 - 70: 6c2f6363 bltu x30,x2,736 - 74: 6269 c.lui x4,0x1a - 76: 2f636367 0x2f636367 - 7a: 696c c.flw f11,84(x10) - 7c: 6762 c.flwsp f14,24(x2) - 7e: 2e326363 bltu x4,x3,364 - 82: 2e2e0063 beq x28,x2,362 - 86: 2f2e2e2f 0x2f2e2e2f - 8a: 2e2e c.fldsp f28,200(x2) - 8c: 722f2e2f 0x722f2e2f - 90: 7369 c.lui x6,0xffffa - 92: 672d7663 bgeu x26,x18,6fe - 96: 6c2f6363 bltu x30,x2,75c - 9a: 6269 c.lui x4,0x1a - 9c: 00636367 0x636367 - a0: 696c c.flw f11,84(x10) - a2: 6762 c.flwsp f14,24(x2) - a4: 2e326363 bltu x4,x3,38a - a8: 0068 c.addi4spn x10,x2,12 + 66: 2f2e2e2f 0x2f2e2e2f + 6a: 2e2e c.fldsp f28,200(x2) + 6c: 722f2e2f 0x722f2e2f + 70: 7369 c.lui x6,0xffffa + 72: 672d7663 bgeu x26,x18,6de + 76: 6c2f6363 bltu x30,x2,73c + 7a: 6269 c.lui x4,0x1a + 7c: 2f636367 0x2f636367 + 80: 696c c.flw f11,84(x10) + 82: 6762 c.flwsp f14,24(x2) + 84: 2e326363 bltu x4,x3,36a + 88: 2e2e0063 beq x28,x2,368 + 8c: 2f2e2e2f 0x2f2e2e2f + 90: 2e2e c.fldsp f28,200(x2) + 92: 722f2e2f 0x722f2e2f + 96: 7369 c.lui x6,0xffffa + 98: 672d7663 bgeu x26,x18,704 + 9c: 6c2f6363 bltu x30,x2,762 + a0: 6269 c.lui x4,0x1a + a2: 00636367 0x636367 + a6: 696c c.flw f11,84(x10) + a8: 6762 c.flwsp f14,24(x2) + aa: 2e326363 bltu x4,x3,390 + ae: 0068 c.addi4spn x10,x2,12 Disassembly of section .debug_loclists: @@ -3689,7 +3685,7 @@ Disassembly of section .debug_loclists: 24: 0600 c.addi4spn x8,x2,768 26: 0aa503a3 sb x10,167(x10) # fffeb0a7 <__global_pointer$+0xfffe85a7> 2a: 9f26 c.add x30,x9 - 2c: 000a3807 fld f16,0(x20) # fffe0000 <__global_pointer$+0xfffdd500> + 2c: 000a3807 fld f16,0(x20) 30: 3c00 c.fld f8,56(x8) 32: 000a c.slli x0,0x2 34: 0600 c.addi4spn x8,x2,768 @@ -3737,7 +3733,7 @@ Disassembly of section .debug_loclists: a4: 0600 c.addi4spn x8,x2,768 a6: 0aa503a3 sb x10,167(x10) aa: 9f26 c.add x30,x9 - ac: 000c2807 flw f16,0(x24) # 19000 <__global_pointer$+0x16500> + ac: 000c2807 flw f16,0(x24) # 1a000 <__global_pointer$+0x17500> b0: 7800 c.flw f8,48(x8) b2: 000c 0xc b4: 0600 c.addi4spn x8,x2,768 -- GitLab