提交 614e4334 编写于 作者: 饶先宏's avatar 饶先宏

202109070637

上级 e71b3d24
......@@ -993,6 +993,8 @@ set_global_assignment -name POWER_BOARD_THERMAL_MODEL "NONE (CONSERVATIVE)"
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
set_global_assignment -name VERILOG_FILE ../verilog/alu/mul32.v
set_global_assignment -name VERILOG_FILE ../verilog/alu/div32.v
set_global_assignment -name VERILOG_FILE ../verilog/altera/regfile/regfile.v
set_global_assignment -name VERILOG_FILE qsys/pllqsys/synthesis/submodules/pllqsys_altpll_0.v
set_global_assignment -name VERILOG_FILE qsys/pllqsys/synthesis/pllqsys.v
......
......@@ -3,7 +3,7 @@
// This code is generated by Terasic System Builder
//=======================================================
`define USECLOCK50__
`define USECLOCK50
module de2_riscv_v4(
//////////// CLOCK //////////
......
......@@ -3,7 +3,7 @@
#define REFFREQ 50000000
static volatile unsigned int* _uartaddr = UARTADDRESS;
static volatile unsigned int _uartstate;
static volatile unsigned int _uartstate = 0;
/*
地址 0 -- 读接收数据
......@@ -110,6 +110,39 @@ static int _d2s(char* buf, long long num)
return len;
}
static int _i2s(char* buf, int num)
{
int i;
int len;
int sign;
len = 0;
sign = 0;
if (num < 0) {
num = -num;
sign = 0;
}
if (num == 0)
buf[len++] = '0';
else {
while (num > 0) {
buf[len++] = (num % 10) + '0';
num /= 10;
}
if (sign)
buf[len++] = '-';
}
for (i = 0; i < len / 2; i++) {
int di = len - 1 - i;
char temp;
temp = buf[i];
buf[i] = buf[di];
buf[di] = temp;
}
buf[len] = 0;
return len;
}
static int _h2s(char* buf, unsigned long long num, int fixlen, char lead)
{
int i;
......@@ -270,22 +303,28 @@ static void dispmem()
char buf[256];
for (j = 0; j < 16; j++) {
_h2s(buf, startaddr, 8, '0');
_strcat(buf, " ");
_puts(buf);
//_strcat(buf, " ");
_puts(" ");
for (i = 0; i < 16; i++) {
unsigned char* disp = (unsigned char*)startaddr;
if (startaddr + i < displayaddr) {
_strcat(buf, " ");
//_strcat(buf, " ");
_puts(" ");
}
else {
char temp[8];
_h2s(temp, disp[i], 2, '0');
_strcat(temp, " ");
_strcat(buf, temp);
_puts(temp);
_puts(" ");
//_strcat(temp, " ");
//_strcat(buf, temp);
}
if (i == 7)
_strcat(buf, "- ");
_puts("-");//_strcat(buf, "- ");
}
_strcat(buf, " |");
//_strcat(buf, " |");
_puts(" |");
for (i = 0; i < 16; i++) {
unsigned char* disp = (unsigned char*)startaddr;
char temp[2];
......@@ -295,11 +334,13 @@ static void dispmem()
else {
temp[0] = '.';
}
temp[1] = 0;
_strcat(buf, temp);
//temp[1] = 0;
//_strcat(buf, temp);
_putchar(temp[0]);
}
_strcat(buf, "|\n");
_puts(buf);
_puts("|\n");
//_strcat(buf, "|\n");
//_puts(buf);
startaddr += 16;
if ( (startaddr & 0xff) == 0)
break;
......@@ -390,12 +431,16 @@ DEFINECSRGETCLEAR(clear_all_counter, 0xc40)
DEFINECSRGETCLEAR(stop_all_counter, 0xc41)
#define TESTMAD 1
#define TESTMAD 0
#define TESTSTATUS 0
#if TESTSTATUS
#define TESTMADCOUNT 11
typedef unsigned long long (*statusget)();
typedef void (*statusclear)();
statusget statusgetfuncs[10] = {
statusget statusgetfuncs[TESTMADCOUNT] = {
instrcount,
cycle,
get_counter_addsub,
get_counter_mul,
get_counter_div,
......@@ -408,8 +453,9 @@ statusget statusgetfuncs[10] = {
};
const char* statusname[10] = {
const char* statusname[TESTMADCOUNT] = {
"total : ",
"cycle : ",
"add/sub : ",
"mul : ",
"div : ",
......@@ -427,7 +473,7 @@ static void liststatus()
unsigned long long total = instrcount();
unsigned long long num;
int i;
for (i = 0; i < 10; i++) {
for (i = 0; i < TESTMADCOUNT; i++) {
_puts("\n");
_puts(statusname[i]);
num = statusgetfuncs[i]();
......@@ -440,6 +486,7 @@ static void liststatus()
}
_puts("\n\r");
}
#endif
#if TESTMAD
#define LEN 1024
......@@ -471,7 +518,7 @@ static void printhelp()
_puts(" width=1, 2 or 4\n");
}
#define TESTISA 1
#define TESTISA 0
#if TESTISA
......@@ -528,6 +575,10 @@ void testisa()
#endif
#define RATEISA 0
#define LISTSTATUS 0
int main(int argc, char* argv[])
{
volatile unsigned int* ledkey = (unsigned int*)0xF0000000;
......@@ -544,9 +595,10 @@ int main(int argc, char* argv[])
count1 = 0;
do {
char buf[256];
int rate;
rate = cycle() / (instrcount() / 100);
if (_canputchar()) {
#if RATEISA
int rate;
rate = cycle() / (instrcount() / 100);
_h2s(buf, cycle(), 8, '0');
_puts(buf);
_puts(":");
......@@ -555,6 +607,7 @@ int main(int argc, char* argv[])
_puts(":");
_d2s(buf, rate);
_puts(buf);
#endif
_puts(">>");
}
do {
......@@ -600,15 +653,17 @@ int main(int argc, char* argv[])
_puts("\n\r:");
_puts(buf);
_puts("\n\r");
if (_strncmp(buf, "help ", 4) == 0) {
if (buf[0] == 'h') {
printhelp();
}
#if LISTSTATUS
else if (buf[0] == 'c') {
clear_all_counter();
}
else if (buf[0] == 'l') {
liststatus();
}
#endif
#if TESTISA
else if (buf[0] == 't') {
testisa();
......@@ -677,7 +732,7 @@ int main(int argc, char* argv[])
_h2s(buf, addr, 8, '0');
_puts(buf);
_puts(" = ");
_d2s(buf, value);
_i2s(buf, value);
_puts(buf);
_puts("(");
_h2s(buf, value, width * 2, '0');
......
.macro DEFINECSRGET csrname, csrno
.macro DEFINECSRGET1 csrname, csrno
.global \csrname
.align 2
.type \csrname, @function
......@@ -25,9 +25,35 @@
.macro DEFINECSRGETCLEAR csrname, csrno
.endm
.macro DEFINECSRGET csrname, csrno
.endm
.text
.global __start
.type __start, @function
__start:
# Initialize global pointer
.option push
.option norelax
1:auipc gp, %pcrel_hi(__global_pointer$)
addi gp, gp, %pcrel_lo(1b)
.option pop
# Clear the bss segment
la a0, _edata
la a2, _end
sub a2, a2, a0
li a1, 0
call memset
lw a0, 0(sp) # a0 = argc
addi a1, sp, __SIZEOF_POINTER__ # a1 = argv
li a2, 0 # a2 = envp = NULL
call main
tail exit
.size __start, .-__start
DEFINECSRGET cycle, 0xc00
DEFINECSRGETCLEAR cycle_clear, 0xc00
DEFINECSRGET instrcount, 0xc02
......
/*======================================================================*/
/* Proxy kernel linker script */
/*======================================================================*/
/* This is the linker script used when building the proxy kernel. */
/*----------------------------------------------------------------------*/
/* Setup */
/*----------------------------------------------------------------------*/
/* The OUTPUT_ARCH command specifies the machine architecture where the
argument is one of the names used in the BFD library. More
specifically one of the entires in bfd/cpu-mips.c */
OUTPUT_ARCH( "riscv" )
ENTRY(_start)
/*----------------------------------------------------------------------*/
/* Sections */
/*----------------------------------------------------------------------*/
SECTIONS
{
/* text: test code section */
. = 0x00000000;
.text.init : { *(.text.init) }
. = ALIGN(0x1000);
.tohost : { *(.tohost) }
. = ALIGN(0x1000);
.text : { *(.text) }
/* data segment */
.data : { *(.data) }
.sdata : {
__global_pointer$ = . + 0x800;
*(.srodata.cst16) *(.srodata.cst8) *(.srodata.cst4) *(.srodata.cst2) *(.srodata*)
*(.sdata .sdata.* .gnu.linkonce.s.*)
}
/* bss segment */
.sbss : {
*(.sbss .sbss.* .gnu.linkonce.sb.*)
*(.scommon)
}
.bss : { *(.bss) }
/* thread-local data segment */
.tdata :
{
_tdata_begin = .;
*(.tdata)
_tdata_end = .;
}
.tbss :
{
*(.tbss)
_tbss_end = .;
}
/* End of uninitalized data segement */
_end = .;
}
int testadd()
{
int i;
int a[16] = { 822056645, -893578039, -1592839155, -208906095, 2081039957, 643924313, 1970441629, -1184321759, 2067696101, -1048444439, 307772205, 1455528369, 52281717, 686790265, 1440824509, 1678252097, };
int b[16] = { 360704632, 399278188, -1024445792, 1991759124, -1322091576, -144344388, -1237293584, -1345253020, -927493864, 1239038220, -363225792, 902074804, 579611240, -323503268, -1999054704, 1128224260, };
int c[16] = { 1182761277, -494299851, 1677682349, 1782853029, 758948381, 499579925, 733148045, 1765392517, 1140202237, 190593781, -55453587, -1937364123, 631892957, 363286997, -558230195, -1488490939, };
int a[16] = { -1515702165, -1191830961, 21877619, -842618921, 637344635, -675876257, 130494595, 1244797415, -2054081909, 632766063, 1637106067, -1738965001, 165510555, 1849687679, 818808483, 1378212359, };
int b[16] = { 1734876550, -2094331942, 582839406, 1391034178, 468300886, -394477654, 1664718142, -1104975598, -1736593626, -153085062, 25862670, 2096723682, 817434102, -1334174902, 996512478, -1515149134, };
int c[16] = { 219174385, 1008804393, 604717025, 548415257, 1105645521, -1070353911, 1795212737, 139821817, 504291761, 479681001, 1662968737, 357758681, 982944657, 515512777, 1815320961, -136936775, };
for (i = 0; i < 16; i++) {
if (a[i] + b[i] != c[i])
return i;
......@@ -13,9 +13,9 @@ int testadd()
int testsub()
{
int i;
int a[16] = { 822056645, -893578039, -1592839155, -208906095, 2081039957, 643924313, 1970441629, -1184321759, 2067696101, -1048444439, 307772205, 1455528369, 52281717, 686790265, 1440824509, 1678252097, };
int b[16] = { 360704632, 399278188, -1024445792, 1991759124, -1322091576, -144344388, -1237293584, -1345253020, -927493864, 1239038220, -363225792, 902074804, 579611240, -323503268, -1999054704, 1128224260, };
int c[16] = { 461352013, -1292856227, -568393363, 2094302077, -891835763, 788268701, -1087232083, 160931261, -1299777331, 2007484637, 670997997, 553453565, -527329523, 1010293533, -855088083, 550027837, };
int a[16] = { -1515702165, -1191830961, 21877619, -842618921, 637344635, -675876257, 130494595, 1244797415, -2054081909, 632766063, 1637106067, -1738965001, 165510555, 1849687679, 818808483, 1378212359, };
int b[16] = { 1734876550, -2094331942, 582839406, 1391034178, 468300886, -394477654, 1664718142, -1104975598, -1736593626, -153085062, 25862670, 2096723682, 817434102, -1334174902, 996512478, -1515149134, };
int c[16] = { 1044388581, 902500981, -560961787, 2061314197, 169043749, -281398603, -1534223547, -1945194283, -317488283, 785851125, 1611243397, 459278613, -651923547, -1111104715, -177703995, -1401605803, };
for (i = 0; i < 16; i++) {
if (a[i] - b[i] != c[i])
return i;
......@@ -25,9 +25,9 @@ int testsub()
int testmul()
{
int i;
int a[16] = { 822056645, -893578039, -1592839155, -208906095, 2081039957, 643924313, 1970441629, -1184321759, 2067696101, -1048444439, 307772205, 1455528369, 52281717, 686790265, 1440824509, 1678252097, };
int b[16] = { 360704632, 399278188, -1024445792, 1991759124, -1322091576, -144344388, -1237293584, -1345253020, -927493864, 1239038220, -363225792, 902074804, 579611240, -323503268, -1999054704, 1128224260, };
int c[16] = { -1940275624, -1319811892, 1205539360, -229732268, 166906216, -1906546852, 1384363056, -378103324, 1957339768, 367982572, 1727947840, -122597004, 2077215112, -712804740, 23700048, 1128609540, };
int a[16] = { -1515702165, -1191830961, 21877619, -842618921, 637344635, -675876257, 130494595, 1244797415, -2054081909, 632766063, 1637106067, -1738965001, 165510555, 1849687679, 818808483, 1378212359, };
int b[16] = { 1734876550, -2094331942, 582839406, 1391034178, 468300886, -394477654, 1664718142, -1104975598, -1736593626, -153085062, 25862670, 2096723682, 817434102, -1334174902, 996512478, -1515149134, };
int c[16] = { -1125538046, 344952902, -963879062, 992603758, -1236486318, 1012257814, 1201995450, -1061514946, 1257866658, -1744210458, 332962826, -616705522, -1891986958, 920450486, 29472602, 1563832542, };
for (i = 0; i < 16; i++) {
if (a[i] * b[i] != c[i])
return i;
......@@ -37,9 +37,9 @@ int testmul()
int testdiv()
{
int i;
int a[16] = { 822056645, -893578039, -1592839155, -208906095, 2081039957, 643924313, 1970441629, -1184321759, 2067696101, -1048444439, 307772205, 1455528369, 52281717, 686790265, 1440824509, 1678252097, };
int b[16] = { 360704632, 399278188, -1024445792, 1991759124, -1322091576, -144344388, -1237293584, -1345253020, -927493864, 1239038220, -363225792, 902074804, 579611240, -323503268, -1999054704, 1128224260, };
int c[16] = { 2, -2, 1, 0, -1, -4, -1, 0, -2, 0, 0, 1, 0, -2, 0, 1, };
int a[16] = { -1515702165, -1191830961, 21877619, -842618921, 637344635, -675876257, 130494595, 1244797415, -2054081909, 632766063, 1637106067, -1738965001, 165510555, 1849687679, 818808483, 1378212359, };
int b[16] = { 1734876550, -2094331942, 582839406, 1391034178, 468300886, -394477654, 1664718142, -1104975598, -1736593626, -153085062, 25862670, 2096723682, 817434102, -1334174902, 996512478, -1515149134, };
int c[16] = { 0, 0, 0, 0, 1, 1, 0, -1, 1, -4, 63, 0, 0, -1, 0, 0, };
for (i = 0; i < 16; i++) {
if (a[i] / b[i] != c[i])
return i;
......@@ -49,9 +49,9 @@ int testdiv()
int testmod()
{
int i;
int a[16] = { 822056645, -893578039, -1592839155, -208906095, 2081039957, 643924313, 1970441629, -1184321759, 2067696101, -1048444439, 307772205, 1455528369, 52281717, 686790265, 1440824509, 1678252097, };
int b[16] = { 360704632, 399278188, -1024445792, 1991759124, -1322091576, -144344388, -1237293584, -1345253020, -927493864, 1239038220, -363225792, 902074804, 579611240, -323503268, -1999054704, 1128224260, };
int c[16] = { 100647381, -95021663, -568393363, -208906095, 758948381, 66546761, 733148045, -1184321759, 212708373, -1048444439, 307772205, 553453565, 52281717, 39783729, 1440824509, 550027837, };
int a[16] = { -1515702165, -1191830961, 21877619, -842618921, 637344635, -675876257, 130494595, 1244797415, -2054081909, 632766063, 1637106067, -1738965001, 165510555, 1849687679, 818808483, 1378212359, };
int b[16] = { 1734876550, -2094331942, 582839406, 1391034178, 468300886, -394477654, 1664718142, -1104975598, -1736593626, -153085062, 25862670, 2096723682, 817434102, -1334174902, 996512478, -1515149134, };
int c[16] = { -1515702165, -1191830961, 21877619, -842618921, 169043749, -281398603, 130494595, 139821817, -317488283, 20425815, 7757857, -1738965001, 165510555, 515512777, 818808483, 1378212359, };
for (i = 0; i < 16; i++) {
if (a[i] % b[i] != c[i])
return i;
......@@ -61,9 +61,9 @@ int testmod()
int testaddu()
{
int i;
unsigned int a[16] = { 0xf9537b5e, 0x0c1e8982, 0x9ca542e6, 0x6fd12b8a, 0x48c5076e, 0xfec0da92, 0xaa45e8f6, 0x2d7ab69a, 0x9bcf077e, 0x44dfdfa2, 0x669b8306, 0xcaa575aa, 0xd2fa7b8e, 0xb9d498b2, 0x08cf1116, 0x8b4a68ba, };
unsigned int b[16] = { 0xb30b24a9, 0x94d78a3d, 0xd8adee11, 0xc2e1c425, 0x921ac079, 0xcda8d70d, 0x4e183be1, 0x340562f5, 0x41310049, 0x47d407dd, 0xa433adb1, 0xf47565c5, 0x82b2e419, 0x154e1cad, 0x19854381, 0x5c46cc95, };
unsigned int c[16] = { 0xac5ea007, 0xa0f613bf, 0x755330f7, 0x32b2efaf, 0xdadfc7e7, 0xcc69b19f, 0xf85e24d7, 0x6180198f, 0xdd0007c7, 0x8cb3e77f, 0x0acf30b7, 0xbf1adb6f, 0x55ad5fa7, 0xcf22b55f, 0x22545497, 0xe791354f, };
unsigned int a[16] = { 0x4a549a34, 0x7c462b38, 0xae024d7c, 0x0afa6500, 0x5d4f15c4, 0x289443c8, 0x61d5130c, 0xe8d7e790, 0x26a26554, 0x753d7058, 0x34b92c9c, 0xb170fe20, 0x3f8f88e4, 0x2fd2b0e8, 0x818f9a2c, 0x75f6a8b0, };
unsigned int b[16] = { 0x68baa027, 0xb076fd1b, 0x7b28a64f, 0x910b6fc3, 0x2b4d6d77, 0xb3c2f36b, 0xacda959f, 0x55d12813, 0xdf25bec7, 0x434dadbb, 0x17a888ef, 0xe9b42463, 0xfc809417, 0x7a642c0b, 0x6eef803f, 0x1d2164b3, };
unsigned int c[16] = { 0xb30f3a5b, 0x2cbd2853, 0x292af3cb, 0x9c05d4c3, 0x889c833b, 0xdc573733, 0x0eafa8ab, 0x3ea90fa3, 0x05c8241b, 0xb88b1e13, 0x4c61b58b, 0x9b252283, 0x3c101cfb, 0xaa36dcf3, 0xf07f1a6b, 0x93180d63, };
for (i = 0; i < 16; i++) {
if (a[i] + b[i] != c[i])
return i;
......@@ -73,9 +73,9 @@ int testaddu()
int testsubu()
{
int i;
unsigned int a[16] = { 0xf9537b5e, 0x0c1e8982, 0x9ca542e6, 0x6fd12b8a, 0x48c5076e, 0xfec0da92, 0xaa45e8f6, 0x2d7ab69a, 0x9bcf077e, 0x44dfdfa2, 0x669b8306, 0xcaa575aa, 0xd2fa7b8e, 0xb9d498b2, 0x08cf1116, 0x8b4a68ba, };
unsigned int b[16] = { 0xb30b24a9, 0x94d78a3d, 0xd8adee11, 0xc2e1c425, 0x921ac079, 0xcda8d70d, 0x4e183be1, 0x340562f5, 0x41310049, 0x47d407dd, 0xa433adb1, 0xf47565c5, 0x82b2e419, 0x154e1cad, 0x19854381, 0x5c46cc95, };
unsigned int c[16] = { 0x464856b5, 0x7746ff45, 0xc3f754d5, 0xacef6765, 0xb6aa46f5, 0x31180385, 0x5c2dad15, 0xf97553a5, 0x5a9e0735, 0xfd0bd7c5, 0xc267d555, 0xd6300fe5, 0x50479775, 0xa4867c05, 0xef49cd95, 0x2f039c25, };
unsigned int a[16] = { 0x4a549a34, 0x7c462b38, 0xae024d7c, 0x0afa6500, 0x5d4f15c4, 0x289443c8, 0x61d5130c, 0xe8d7e790, 0x26a26554, 0x753d7058, 0x34b92c9c, 0xb170fe20, 0x3f8f88e4, 0x2fd2b0e8, 0x818f9a2c, 0x75f6a8b0, };
unsigned int b[16] = { 0x68baa027, 0xb076fd1b, 0x7b28a64f, 0x910b6fc3, 0x2b4d6d77, 0xb3c2f36b, 0xacda959f, 0x55d12813, 0xdf25bec7, 0x434dadbb, 0x17a888ef, 0xe9b42463, 0xfc809417, 0x7a642c0b, 0x6eef803f, 0x1d2164b3, };
unsigned int c[16] = { 0xe199fa0d, 0xcbcf2e1d, 0x32d9a72d, 0x79eef53d, 0x3201a84d, 0x74d1505d, 0xb4fa7d6d, 0x9306bf7d, 0x477ca68d, 0x31efc29d, 0x1d10a3ad, 0xc7bcd9bd, 0x430ef4cd, 0xb56e84dd, 0x12a019ed, 0x58d543fd, };
for (i = 0; i < 16; i++) {
if (a[i] - b[i] != c[i])
return i;
......@@ -85,9 +85,9 @@ int testsubu()
int testmulu()
{
int i;
unsigned int a[16] = { 0xf9537b5e, 0x0c1e8982, 0x9ca542e6, 0x6fd12b8a, 0x48c5076e, 0xfec0da92, 0xaa45e8f6, 0x2d7ab69a, 0x9bcf077e, 0x44dfdfa2, 0x669b8306, 0xcaa575aa, 0xd2fa7b8e, 0xb9d498b2, 0x08cf1116, 0x8b4a68ba, };
unsigned int b[16] = { 0xb30b24a9, 0x94d78a3d, 0xd8adee11, 0xc2e1c425, 0x921ac079, 0xcda8d70d, 0x4e183be1, 0x340562f5, 0x41310049, 0x47d407dd, 0xa433adb1, 0xf47565c5, 0x82b2e419, 0x154e1cad, 0x19854381, 0x5c46cc95, };
unsigned int c[16] = { 0x5c7fa90e, 0xfd94d7fa, 0x50994546, 0x26daf2f2, 0xa7df02fe, 0x412bb76a, 0x8f327236, 0x5959b562, 0xdb2722ee, 0xb4897cda, 0xfb42a526, 0x07719dd2, 0xb53c88de, 0xf59aa84a, 0xa83e5e16, 0xd89f2c42, };
unsigned int a[16] = { 0x4a549a34, 0x7c462b38, 0xae024d7c, 0x0afa6500, 0x5d4f15c4, 0x289443c8, 0x61d5130c, 0xe8d7e790, 0x26a26554, 0x753d7058, 0x34b92c9c, 0xb170fe20, 0x3f8f88e4, 0x2fd2b0e8, 0x818f9a2c, 0x75f6a8b0, };
unsigned int b[16] = { 0x68baa027, 0xb076fd1b, 0x7b28a64f, 0x910b6fc3, 0x2b4d6d77, 0xb3c2f36b, 0xacda959f, 0x55d12813, 0xdf25bec7, 0x434dadbb, 0x17a888ef, 0xe9b42463, 0xfc809417, 0x7a642c0b, 0x6eef803f, 0x1d2164b3, };
unsigned int c[16] = { 0x5d0bfdec, 0xdfece6e8, 0xe0545144, 0x4585ef00, 0x83fb921c, 0xe7df2c98, 0x0fa4d074, 0xe0c4afb0, 0x60951c4c, 0xfb448848, 0xe2f385a4, 0x50eec660, 0x93091c7c, 0xef1579f8, 0x8680f0d4, 0x840cb310, };
for (i = 0; i < 16; i++) {
if (a[i] * b[i] != c[i])
return i;
......@@ -97,9 +97,9 @@ int testmulu()
int testdivu()
{
int i;
unsigned int a[16] = { 0xf9537b5e, 0x0c1e8982, 0x9ca542e6, 0x6fd12b8a, 0x48c5076e, 0xfec0da92, 0xaa45e8f6, 0x2d7ab69a, 0x9bcf077e, 0x44dfdfa2, 0x669b8306, 0xcaa575aa, 0xd2fa7b8e, 0xb9d498b2, 0x08cf1116, 0x8b4a68ba, };
unsigned int b[16] = { 0xb30b24a9, 0x94d78a3d, 0xd8adee11, 0xc2e1c425, 0x921ac079, 0xcda8d70d, 0x4e183be1, 0x340562f5, 0x41310049, 0x47d407dd, 0xa433adb1, 0xf47565c5, 0x82b2e419, 0x154e1cad, 0x19854381, 0x5c46cc95, };
unsigned int c[16] = { 0x00000001, 0x00000000, 0x00000000, 0x00000000, 0x00000000, 0x00000001, 0x00000002, 0x00000000, 0x00000002, 0x00000000, 0x00000000, 0x00000000, 0x00000001, 0x00000008, 0x00000000, 0x00000001, };
unsigned int a[16] = { 0x4a549a34, 0x7c462b38, 0xae024d7c, 0x0afa6500, 0x5d4f15c4, 0x289443c8, 0x61d5130c, 0xe8d7e790, 0x26a26554, 0x753d7058, 0x34b92c9c, 0xb170fe20, 0x3f8f88e4, 0x2fd2b0e8, 0x818f9a2c, 0x75f6a8b0, };
unsigned int b[16] = { 0x68baa027, 0xb076fd1b, 0x7b28a64f, 0x910b6fc3, 0x2b4d6d77, 0xb3c2f36b, 0xacda959f, 0x55d12813, 0xdf25bec7, 0x434dadbb, 0x17a888ef, 0xe9b42463, 0xfc809417, 0x7a642c0b, 0x6eef803f, 0x1d2164b3, };
unsigned int c[16] = { 0x00000000, 0x00000000, 0x00000001, 0x00000000, 0x00000002, 0x00000000, 0x00000000, 0x00000002, 0x00000000, 0x00000001, 0x00000002, 0x00000000, 0x00000000, 0x00000000, 0x00000001, 0x00000004, };
for (i = 0; i < 16; i++) {
if (a[i] / b[i] != c[i])
return i;
......@@ -109,9 +109,9 @@ int testdivu()
int testmodu()
{
int i;
unsigned int a[16] = { 0xf9537b5e, 0x0c1e8982, 0x9ca542e6, 0x6fd12b8a, 0x48c5076e, 0xfec0da92, 0xaa45e8f6, 0x2d7ab69a, 0x9bcf077e, 0x44dfdfa2, 0x669b8306, 0xcaa575aa, 0xd2fa7b8e, 0xb9d498b2, 0x08cf1116, 0x8b4a68ba, };
unsigned int b[16] = { 0xb30b24a9, 0x94d78a3d, 0xd8adee11, 0xc2e1c425, 0x921ac079, 0xcda8d70d, 0x4e183be1, 0x340562f5, 0x41310049, 0x47d407dd, 0xa433adb1, 0xf47565c5, 0x82b2e419, 0x154e1cad, 0x19854381, 0x5c46cc95, };
unsigned int c[16] = { 0x464856b5, 0x0c1e8982, 0x9ca542e6, 0x6fd12b8a, 0x48c5076e, 0x31180385, 0x0e157134, 0x2d7ab69a, 0x196d06ec, 0x44dfdfa2, 0x669b8306, 0xcaa575aa, 0x50479775, 0x0f63b34a, 0x08cf1116, 0x2f039c25, };
unsigned int a[16] = { 0x4a549a34, 0x7c462b38, 0xae024d7c, 0x0afa6500, 0x5d4f15c4, 0x289443c8, 0x61d5130c, 0xe8d7e790, 0x26a26554, 0x753d7058, 0x34b92c9c, 0xb170fe20, 0x3f8f88e4, 0x2fd2b0e8, 0x818f9a2c, 0x75f6a8b0, };
unsigned int b[16] = { 0x68baa027, 0xb076fd1b, 0x7b28a64f, 0x910b6fc3, 0x2b4d6d77, 0xb3c2f36b, 0xacda959f, 0x55d12813, 0xdf25bec7, 0x434dadbb, 0x17a888ef, 0xe9b42463, 0xfc809417, 0x7a642c0b, 0x6eef803f, 0x1d2164b3, };
unsigned int c[16] = { 0x4a549a34, 0x7c462b38, 0x32d9a72d, 0x0afa6500, 0x06b43ad6, 0x289443c8, 0x61d5130c, 0x3d35976a, 0x26a26554, 0x31efc29d, 0x05681abe, 0xb170fe20, 0x3f8f88e4, 0x2fd2b0e8, 0x12a019ed, 0x017115e4, };
for (i = 0; i < 16; i++) {
if (a[i] % b[i] != c[i])
return i;
......
@00000074
93 07 00 00 63 88 07 00 37 35 00 00 13 05 05 18
6F 30 80 15 67 80 00 00 97 51 00 00 93 81 C1 60
13 85 C1 80 17 76 00 00 13 06 06 E3 33 06 A6 40
93 05 00 00 EF 20 90 6D 17 35 00 00 13 05 05 13
63 08 05 00 17 35 00 00 13 05 85 0C EF 30 C0 11
EF 20 90 4F 03 25 01 00 93 05 41 00 13 06 00 00
EF 10 50 1D 6F 20 10 4B 13 01 01 FF 23 24 81 00
83 C7 41 81 23 26 11 00 63 92 07 02 93 07 00 00
63 8A 07 00 37 55 00 00 13 05 C5 96 97 00 00 00
E7 00 00 00 93 07 10 00 23 8A F1 80 83 20 C1 00
93 07 00 00 63 88 07 00 37 15 00 00 13 05 C5 15
6F 10 40 13 67 80 00 00 13 01 01 FF 23 24 81 00
83 C7 C1 C3 23 26 11 00 63 92 07 02 93 07 00 00
63 8A 07 00 37 25 00 00 13 05 C5 39 97 00 00 00
E7 00 00 00 93 07 10 00 23 8E F1 C2 83 20 C1 00
03 24 81 00 13 01 01 01 67 80 00 00 93 07 00 00
63 8E 07 00 B7 55 00 00 37 55 00 00 93 85 05 EB
13 05 C5 96 17 03 00 00 67 00 00 00 67 80 00 00
13 01 01 FF 23 26 81 00 13 04 01 01 B7 57 00 00
83 A7 C7 E9 93 87 87 00 03 A7 07 00 23 A6 E1 80
83 A7 C1 80 93 F7 17 00 93 B7 17 00 93 F7 F7 0F
13 85 07 00 03 24 C1 00 13 01 01 01 67 80 00 00
13 01 01 FF 23 26 81 00 13 04 01 01 B7 57 00 00
83 A7 C7 E9 93 87 87 00 03 A7 07 00 23 A6 E1 80
03 A7 C1 80 B7 07 01 00 B3 77 F7 00 93 B7 17 00
93 F7 F7 0F 13 85 07 00 03 24 C1 00 13 01 01 01
67 80 00 00 13 01 01 FE 23 2E 81 00 13 04 01 02
23 26 A4 FE B7 57 00 00 83 A7 C7 E9 93 87 87 00
03 A7 07 00 23 A6 E1 80 83 A7 C1 80 93 F7 17 00
63 90 07 02 B7 57 00 00 83 A7 C7 E9 93 87 47 00
03 27 C4 FE 23 A0 E7 00 93 07 00 00 6F 00 80 00
93 07 F0 FF 13 85 07 00 03 24 C1 01 13 01 01 02
67 80 00 00 13 01 01 FF 23 26 81 00 13 04 01 01
B7 57 00 00 83 A7 C7 E9 93 87 87 00 03 A7 07 00
23 A6 E1 80 03 A7 C1 80 B7 07 01 00 B3 77 F7 00
63 9A 07 00 B7 57 00 00 83 A7 C7 E9 83 A7 07 00
6F 00 80 00 93 07 F0 FF 13 85 07 00 03 24 C1 00
13 01 01 01 67 80 00 00 13 01 01 FE 23 2E 11 00
23 2C 81 00 13 04 01 02 23 26 A4 FE 6F 00 00 03
13 00 00 00 83 27 C4 FE 83 C7 07 00 13 85 07 00
EF F0 5F F2 13 07 05 00 93 07 F0 FF E3 04 F7 FE
83 27 C4 FE 93 87 17 00 23 26 F4 FE 83 27 C4 FE
83 C7 07 00 E3 96 07 FC 93 07 00 00 13 85 07 00
83 20 C1 01 03 24 81 01 13 01 01 02 67 80 00 00
13 01 01 FD 23 26 11 02 23 24 81 02 13 04 01 03
23 2E A4 FC 23 2C B4 FC 23 26 04 FE 03 27 84 FD
93 07 10 00 63 C6 E7 00 93 07 00 00 6F 00 80 09
EF F0 5F F1 23 24 A4 FE 03 27 84 FE 93 07 F0 FF
E3 08 F7 FE 83 27 C4 FE 13 87 17 00 23 26 E4 FE
13 87 07 00 83 27 C4 FD B3 87 E7 00 03 27 84 FE
13 77 F7 0F 23 80 E7 00 13 00 00 00 03 25 84 FE
EF F0 5F E7 13 07 05 00 93 07 F0 FF E3 08 F7 FE
83 27 84 FD 93 87 F7 FF 03 27 C4 FE 63 50 F7 02
03 27 84 FE 93 07 A0 00 63 0C F7 00 03 27 84 FE
93 07 D0 00 63 06 F7 00 6F F0 9F F8 13 00 00 00
83 27 C4 FE 03 27 C4 FD B3 07 F7 00 23 80 07 00
83 27 C4 FE 13 85 07 00 83 20 C1 02 03 24 81 02
13 01 01 03 67 80 00 00 13 01 01 FC 23 2E 11 02
23 2C 81 02 13 04 01 04 23 26 A4 FC 23 20 B4 FC
23 22 C4 FC 23 24 04 FE 23 22 04 FE 83 27 44 FC
63 DC 07 02 13 06 00 00 93 06 00 00 03 25 04 FC
83 25 44 FC 33 07 A6 40 13 08 07 00 33 38 06 01
B3 87 B6 40 B3 86 07 41 93 87 06 00 23 20 E4 FC
23 22 F4 FC 23 22 04 FE 83 27 04 FC 03 27 44 FC
B3 E7 E7 00 63 90 07 0A 83 27 84 FE 13 87 17 00
63 8C 07 00 37 25 00 00 93 85 01 C4 13 05 C5 39
17 03 00 00 67 00 00 00 67 80 00 00 13 01 01 FF
23 26 81 00 13 04 01 01 83 A7 C1 C2 93 87 87 00
03 A7 07 00 23 AA E1 C2 83 A7 41 C3 93 F7 17 00
93 B7 17 00 93 F7 F7 0F 13 85 07 00 03 24 C1 00
13 01 01 01 67 80 00 00 13 01 01 FF 23 26 81 00
13 04 01 01 83 A7 C1 C2 93 87 87 00 03 A7 07 00
23 AA E1 C2 03 A7 41 C3 B7 07 01 00 B3 77 F7 00
93 B7 17 00 93 F7 F7 0F 13 85 07 00 03 24 C1 00
13 01 01 01 67 80 00 00 13 01 01 FE 23 2E 81 00
13 04 01 02 23 26 A4 FE 83 A7 C1 C2 93 87 87 00
03 A7 07 00 23 AA E1 C2 83 A7 41 C3 93 F7 17 00
63 9E 07 00 83 A7 C1 C2 93 87 47 00 03 27 C4 FE
23 A0 E7 00 93 07 00 00 6F 00 80 00 93 07 F0 FF
13 85 07 00 03 24 C1 01 13 01 01 02 67 80 00 00
13 01 01 FF 23 26 81 00 13 04 01 01 83 A7 C1 C2
93 87 87 00 03 A7 07 00 23 AA E1 C2 03 A7 41 C3
B7 07 01 00 B3 77 F7 00 63 98 07 00 83 A7 C1 C2
83 A7 07 00 6F 00 80 00 93 07 F0 FF 13 85 07 00
03 24 C1 00 13 01 01 01 67 80 00 00 13 01 01 FE
23 2E 11 00 23 2C 81 00 13 04 01 02 23 26 A4 FE
6F 00 00 03 13 00 00 00 83 27 C4 FE 83 C7 07 00
13 85 07 00 EF F0 5F F3 13 07 05 00 93 07 F0 FF
E3 04 F7 FE 83 27 C4 FE 93 87 17 00 23 26 F4 FE
83 27 C4 FE 83 C7 07 00 E3 96 07 FC 93 07 00 00
13 85 07 00 83 20 C1 01 03 24 81 01 13 01 01 02
67 80 00 00 13 01 01 FD 23 26 11 02 23 24 81 02
13 04 01 03 23 2E A4 FC 23 2C B4 FC 23 26 04 FE
03 27 84 FD 93 07 10 00 63 C6 E7 00 93 07 00 00
6F 00 80 09 EF F0 DF F1 23 24 A4 FE 03 27 84 FE
93 07 F0 FF E3 08 F7 FE 83 27 C4 FE 13 87 17 00
23 26 E4 FE 13 87 07 00 83 27 C4 FD B3 87 E7 00
03 27 84 FE 13 77 F7 0F 23 80 E7 00 13 00 00 00
03 25 84 FE EF F0 5F E8 13 07 05 00 93 07 F0 FF
E3 08 F7 FE 83 27 84 FD 93 87 F7 FF 03 27 C4 FE
63 50 F7 02 03 27 84 FE 93 07 A0 00 63 0C F7 00
03 27 84 FE 93 07 D0 00 63 06 F7 00 6F F0 9F F8
13 00 00 00 83 27 C4 FE 03 27 C4 FD B3 07 F7 00
23 80 07 00 83 27 C4 FE 13 85 07 00 83 20 C1 02
03 24 81 02 13 01 01 03 67 80 00 00 13 01 01 FC
23 2E 81 02 13 04 01 04 23 26 A4 FC 23 24 B4 FC
23 24 04 FE 23 22 04 FE 83 27 84 FC 63 DA 07 00
83 27 84 FC B3 07 F0 40 23 24 F4 FC 23 22 04 FE
83 27 84 FC 63 96 07 06 83 27 84 FE 13 87 17 00
23 24 E4 FE 13 87 07 00 83 27 C4 FC B3 87 E7 00
13 07 00 03 23 80 E7 00 6F 00 C0 0B 03 27 04 FC
83 27 44 FC 13 06 A0 00 93 06 00 00 13 05 07 00
93 85 07 00 EF 20 00 0A 13 07 05 00 93 87 05 00
13 77 F7 0F 83 27 84 FE 93 86 17 00 23 24 D4 FE
93 86 07 00 83 27 C4 FC B3 87 D7 00 13 07 07 03
13 77 F7 0F 23 80 E7 00 03 27 04 FC 83 27 44 FC
13 06 A0 00 93 06 00 00 13 05 07 00 93 85 07 00
EF 10 D0 3D 13 07 05 00 93 87 05 00 23 20 E4 FC
23 22 F4 FC 83 27 44 FC E3 42 F0 F8 83 27 44 FC
63 96 07 00 83 27 04 FC E3 9A 07 F6 83 27 44 FE
63 82 07 02 83 27 84 FE 13 87 17 00 23 24 E4 FE
13 87 07 00 83 27 C4 FC B3 87 E7 00 13 07 D0 02
23 80 E7 00 23 26 04 FE 6F 00 C0 06 83 27 84 FE
13 87 F7 FF 83 27 C4 FE B3 07 F7 40 23 20 F4 FE
83 27 C4 FE 03 27 C4 FC B3 07 F7 00 83 C7 07 00
A3 0F F4 FC 83 27 04 FE 03 27 C4 FC 33 07 F7 00
83 27 C4 FE 83 26 C4 FC B3 87 F6 00 03 47 07 00
23 80 E7 00 83 27 04 FE 03 27 C4 FC B3 07 F7 00
03 47 F4 FD 23 80 E7 00 83 27 C4 FE 93 87 17 00
23 26 F4 FE 83 27 84 FE 13 D7 F7 01 B3 07 F7 00
93 D7 17 40 13 87 07 00 83 27 C4 FE E3 C0 E7 F8
83 27 84 FE 03 27 C4 FC B3 07 F7 00 23 80 07 00
83 27 84 FE 13 85 07 00 83 20 C1 03 03 24 81 03
13 01 01 04 67 80 00 00 13 01 01 FB 23 26 81 04
13 04 01 05 23 26 A4 FC 23 20 B4 FC 23 22 C4 FC
23 24 D4 FC 93 07 07 00 A3 0F F4 FA 23 24 04 FE
6F 00 00 09 83 27 04 FC 93 F7 F7 00 23 2E F4 FC
03 27 C4 FD 93 07 90 00 63 C4 E7 02 83 27 C4 FD
13 F7 F7 0F 83 27 84 FE 83 26 C4 FC B3 87 F6 00
13 07 07 03 13 77 F7 0F 23 80 E7 00 6F 00 40 02
83 27 C4 FD 13 F7 F7 0F 83 27 84 FE 83 26 C4 FC
B3 87 F6 00 13 07 77 05 13 77 F7 0F 23 80 E7 00
83 27 44 FC 93 97 C7 01 03 27 04 FC 13 58 47 00
33 E8 07 01 83 27 44 FC 93 D8 47 00 23 20 04 FD
23 22 14 FD 83 27 84 FE 93 87 17 00 23 24 F4 FE
83 27 04 FC 03 27 44 FC B3 E7 E7 00 E3 94 07 F6
6F 00 40 02 83 27 84 FE 13 87 17 00 23 24 E4 FE
13 87 07 00 83 27 C4 FC B3 87 E7 00 03 47 F4 FB
23 80 E7 00 03 27 84 FE 83 27 84 FC E3 4C F7 FC
13 07 00 03 23 80 E7 00 6F 00 80 07 03 27 84 FC
93 07 A0 00 B3 67 F7 02 13 F7 F7 0F 83 27 84 FE
93 86 17 00 23 24 D4 FE 93 86 07 00 83 27 C4 FC
B3 87 D7 00 13 07 07 03 13 77 F7 0F 23 80 E7 00
03 27 84 FC 93 07 A0 00 B3 47 F7 02 23 24 F4 FC
83 27 84 FC E3 4C F0 FA 83 27 44 FE 63 82 07 02
83 27 84 FE 13 87 17 00 23 24 E4 FE 13 87 07 00
83 27 C4 FC B3 87 E7 00 13 07 D0 02 23 80 E7 00
23 26 04 FE 6F 00 C0 06 83 27 84 FE 13 87 F7 FF
83 27 C4 FE B3 07 F7 40 23 22 F4 FE 83 27 C4 FE
03 27 C4 FC B3 07 F7 00 83 C7 07 00 A3 01 F4 FE
83 27 44 FE 03 27 C4 FC 33 07 F7 00 83 27 C4 FE
83 27 C4 FE B3 07 F7 40 23 20 F4 FE 83 27 C4 FE
03 27 C4 FC B3 07 F7 00 83 C7 07 00 A3 0F F4 FC
83 27 04 FE 03 27 C4 FC 33 07 F7 00 83 27 C4 FE
83 26 C4 FC B3 87 F6 00 03 47 07 00 23 80 E7 00
83 27 44 FE 03 27 C4 FC B3 07 F7 00 03 47 34 FE
83 27 04 FE 03 27 C4 FC B3 07 F7 00 03 47 F4 FD
23 80 E7 00 83 27 C4 FE 93 87 17 00 23 26 F4 FE
83 27 84 FE 13 D7 F7 01 B3 07 F7 00 93 D7 17 40
13 87 07 00 83 27 C4 FE E3 C0 E7 F8 83 27 84 FE
03 27 C4 FC B3 07 F7 00 23 80 07 00 83 27 84 FE
13 85 07 00 03 24 C1 04 13 01 01 05 67 80 00 00
13 01 01 FD 23 26 81 02 13 04 01 03 23 2E A4 FC
23 2C B4 FC 23 26 04 FE 23 24 04 FE 93 07 10 00
23 22 F4 FE 6F 00 C0 08 83 27 C4 FD 83 C7 07 00
23 20 F4 FE 03 27 04 FE 93 07 F0 02 63 D2 E7 04
03 27 04 FE 93 07 90 03 63 CC E7 02 03 27 84 FE
93 07 07 00 93 97 27 00 B3 87 E7 00 93 97 17 00
13 87 07 00 83 27 04 FE B3 07 F7 00 93 87 07 FD
23 24 F4 FE 93 07 10 00 23 26 F4 FE 6F 00 80 02
83 27 C4 FE 63 9E 07 02 03 27 04 FE 93 07 D0 02
63 1A F7 00 93 07 F0 FF 23 22 F4 FE 93 07 10 00
23 26 F4 FE 83 27 C4 FD 93 87 17 00 23 2E F4 FC
83 27 C4 FD 83 C7 07 00 E3 98 07 F6 6F 00 80 00
13 00 00 00 03 27 84 FE 83 27 44 FE B3 07 F7 02
23 24 F4 FE 83 27 84 FD 63 88 07 00 83 27 84 FD
03 27 C4 FD 23 A0 E7 00 83 27 84 FE 13 85 07 00
03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 FD
23 26 81 02 13 04 01 03 23 2E A4 FC 23 2C B4 FC
23 26 04 FE 23 24 04 FE 6F 00 00 0E 83 27 C4 FD
83 C7 07 00 23 22 F4 FE 03 27 44 FE 93 07 F0 02
63 DA E7 02 03 27 44 FE 93 07 90 03 63 C4 E7 02
93 07 10 00 23 26 F4 FE 83 27 84 FE 13 97 47 00
83 27 44 FE B3 07 F7 00 93 87 07 FD 23 24 F4 FE
6F 00 C0 08 03 27 44 FE 93 07 00 06 63 DA E7 02
03 27 44 FE 93 07 60 06 63 C4 E7 02 93 07 10 00
23 26 F4 FE 83 27 84 FE 13 97 47 00 83 27 44 FE
B3 07 F7 00 93 87 97 FA 23 24 F4 FE 6F 00 00 05
03 27 44 FE 93 07 00 04 63 DA E7 02 03 27 44 FE
93 07 60 04 63 C4 E7 02 93 07 10 00 23 26 F4 FE
83 27 84 FE 13 97 47 00 83 27 44 FE B3 07 F7 00
93 87 97 FC 23 24 F4 FE 6F 00 40 01 83 27 C4 FE
63 94 07 02 93 07 10 00 23 26 F4 FE 83 27 C4 FD
13 85 07 00 03 24 C1 03 13 01 01 04 67 80 00 00
13 01 01 FB 23 26 81 04 13 04 01 05 23 26 A4 FC
23 20 B4 FC 23 22 C4 FC 23 24 D4 FC 93 07 07 00
A3 0F F4 FA 23 24 04 FE 6F 00 00 09 83 27 04 FC
93 F7 F7 00 23 2E F4 FC 03 27 C4 FD 93 07 90 00
63 C4 E7 02 83 27 C4 FD 13 F7 F7 0F 83 27 84 FE
83 26 C4 FC B3 87 F6 00 13 07 07 03 13 77 F7 0F
23 80 E7 00 6F 00 40 02 83 27 C4 FD 13 F7 F7 0F
83 27 84 FE 83 26 C4 FC B3 87 F6 00 13 07 77 05
13 77 F7 0F 23 80 E7 00 83 27 44 FC 93 97 C7 01
03 27 04 FC 13 58 47 00 33 E8 07 01 83 27 44 FC
93 D8 47 00 23 20 04 FD 23 22 14 FD 83 27 84 FE
93 87 17 00 23 24 F4 FE 83 27 04 FC 03 27 44 FC
B3 E7 E7 00 E3 94 07 F6 6F 00 40 02 83 27 84 FE
13 87 17 00 23 24 E4 FE 13 87 07 00 83 27 C4 FC
B3 87 E7 00 03 47 F4 FB 23 80 E7 00 03 27 84 FE
83 27 84 FC E3 4C F7 FC 23 26 04 FE 6F 00 C0 06
83 27 84 FE 13 87 F7 FF 83 27 C4 FE B3 07 F7 40
23 22 F4 FE 83 27 C4 FE 03 27 C4 FC B3 07 F7 00
83 C7 07 00 A3 01 F4 FE 83 27 44 FE 03 27 C4 FC
33 07 F7 00 83 27 C4 FE 83 26 C4 FC B3 87 F6 00
03 47 07 00 23 80 E7 00 83 27 44 FE 03 27 C4 FC
B3 07 F7 00 03 47 34 FE 23 80 E7 00 83 27 C4 FE
93 87 17 00 23 26 F4 FE 83 27 84 FE 13 D7 F7 01
B3 07 F7 00 93 D7 17 40 13 87 07 00 83 27 C4 FE
E3 C0 E7 F8 83 27 84 FE 03 27 C4 FC B3 07 F7 00
23 80 07 00 83 27 84 FE 13 85 07 00 03 24 C1 04
13 01 01 05 67 80 00 00 13 01 01 FD 23 26 81 02
13 04 01 03 23 2E A4 FC 23 2C B4 FC 23 26 04 FE
23 24 04 FE 93 07 10 00 23 22 F4 FE 6F 00 C0 08
83 27 C4 FD 83 C7 07 00 23 20 F4 FE 03 27 04 FE
93 07 F0 02 63 D2 E7 04 03 27 04 FE 93 07 90 03
63 CC E7 02 03 27 84 FE 93 07 07 00 93 97 27 00
B3 87 E7 00 93 97 17 00 13 87 07 00 83 27 04 FE
B3 07 F7 00 93 87 07 FD 23 24 F4 FE 93 07 10 00
23 26 F4 FE 6F 00 80 02 83 27 C4 FE 63 9E 07 02
03 27 04 FE 93 07 D0 02 63 1A F7 00 93 07 F0 FF
23 22 F4 FE 93 07 10 00 23 26 F4 FE 83 27 C4 FD
93 87 17 00 23 2E F4 FC 83 27 C4 FD 83 C7 07 00
E3 9E 07 F0 6F 00 80 00 13 00 00 00 83 27 84 FD
E3 98 07 F6 6F 00 80 00 13 00 00 00 03 27 84 FE
83 27 44 FE B3 07 F7 02 23 24 F4 FE 83 27 84 FD
63 88 07 00 83 27 84 FD 03 27 C4 FD 23 A0 E7 00
83 27 84 FE 13 85 07 00 03 24 C1 02 13 01 01 03
67 80 00 00 13 01 01 FD 23 26 81 02 13 04 01 03
23 2E A4 FC 23 2C B4 FC 83 27 C4 FD 23 26 F4 FE
6F 00 00 01 83 27 C4 FD 93 87 17 00 23 2E F4 FC
83 27 C4 FD 83 C7 07 00 E3 96 07 FE 6F 00 40 02
03 27 84 FD 93 07 17 00 23 2C F4 FC 83 27 C4 FD
93 86 17 00 23 2E D4 FC 03 47 07 00 23 80 E7 00
83 27 84 FD 83 C7 07 00 E3 9C 07 FC 83 27 C4 FD
23 80 07 00 03 27 C4 FD 83 27 C4 FE B3 07 F7 40
13 85 07 00 03 24 C1 02 13 01 01 03 67 80 00 00
13 01 01 FD 23 26 81 02 13 04 01 03 23 2E A4 FC
23 2C B4 FC 23 2A C4 FC 23 26 04 FE 6F 00 00 05
83 27 C4 FD 13 87 17 00 23 2E E4 FC 03 C7 07 00
83 27 84 FD 93 86 17 00 23 2C D4 FC 83 C7 07 00
63 06 F7 00 93 07 10 00 6F 00 00 04 83 27 C4 FE
93 87 17 00 23 26 F4 FE 03 27 C4 FE 83 27 44 FD
63 46 F7 00 93 07 00 00 6F 00 00 02 83 27 C4 FD
83 C7 07 00 63 88 07 00 83 27 84 FD 83 C7 07 00
E3 90 07 FA 93 07 00 00 13 85 07 00 03 24 C1 02
13 01 01 03 67 80 00 00 13 01 01 EC 23 2E 11 12
23 2C 81 12 23 2A 21 13 23 28 31 13 23 26 41 13
23 24 51 13 13 04 01 14 83 A7 01 81 93 F7 07 FF
23 2A F4 FC 23 2C 04 FC 6F 00 80 1F 83 27 44 FD
13 89 07 00 93 09 00 00 93 07 C4 EC 13 07 00 03
93 06 80 00 93 05 09 00 13 86 09 00 13 85 07 00
EF F0 9F AA 13 07 C4 EC B7 37 00 00 93 85 87 2C
13 05 07 00 EF F0 1F E7 23 2E 04 FC 6F 00 00 0C
83 27 44 FD 23 26 F4 FC 03 27 C4 FD 83 27 44 FD
33 07 F7 00 83 A7 01 81 63 7E F7 00 13 07 C4 EC
B7 37 00 00 93 85 C7 2C 13 05 07 00 EF F0 9F E3
6F 00 00 06 83 27 C4 FD 03 27 C4 FC B3 07 F7 00
23 2E A4 FC 23 2C B4 FC 23 26 04 FE 23 24 04 FE
6F 00 00 0E 83 27 C4 FD 83 C7 07 00 23 22 F4 FE
03 27 44 FE 93 07 F0 02 63 DA E7 02 03 27 44 FE
93 07 90 03 63 C4 E7 02 93 07 10 00 23 26 F4 FE
83 27 84 FE 13 97 47 00 83 27 44 FE B3 07 F7 00
93 87 07 FD 23 24 F4 FE 6F 00 C0 08 03 27 44 FE
93 07 00 06 63 DA E7 02 03 27 44 FE 93 07 60 06
63 C4 E7 02 93 07 10 00 23 26 F4 FE 83 27 84 FE
13 97 47 00 83 27 44 FE B3 07 F7 00 93 87 97 FA
23 24 F4 FE 6F 00 00 05 03 27 44 FE 93 07 00 04
63 DA E7 02 03 27 44 FE 93 07 60 04 63 C4 E7 02
93 07 10 00 23 26 F4 FE 83 27 84 FE 13 97 47 00
83 27 44 FE B3 07 F7 00 93 87 97 FC 23 24 F4 FE
6F 00 40 01 83 27 C4 FE 63 94 07 02 93 07 10 00
23 26 F4 FE 83 27 C4 FD 93 87 17 00 23 2E F4 FC
83 27 C4 FD 83 C7 07 00 E3 9E 07 F0 6F 00 80 00
13 00 00 00 83 27 84 FD 63 88 07 00 83 27 84 FD
03 27 C4 FD 23 A0 E7 00 83 27 84 FE 13 85 07 00
03 24 C1 02 13 01 01 03 67 80 00 00 13 01 01 EC
23 2E 11 12 23 2C 81 12 23 2A 21 13 23 28 31 13
23 26 41 13 23 24 51 13 13 04 01 14 83 A7 81 C3
93 F7 07 FF 23 2A F4 FC 23 2C 04 FC 6F 00 40 1B
83 27 44 FD 13 89 07 00 93 09 00 00 93 07 C4 EC
13 07 00 03 93 06 80 00 93 05 09 00 13 86 09 00
13 85 07 00 EF F0 DF BC 93 07 C4 EC 13 85 07 00
EF F0 DF 8F B7 17 00 00 13 85 C7 29 EF F0 1F 8F
23 2E 04 FC 6F 00 00 0A 83 27 44 FD 23 26 F4 FC
03 27 C4 FD 83 27 44 FD 33 07 F7 00 83 A7 81 C3
63 7A F7 00 B7 17 00 00 13 85 07 2A EF F0 1F 8C
6F 00 00 05 83 27 C4 FD 03 27 C4 FC B3 07 F7 00
83 C7 07 00 13 8A 07 00 93 0A 00 00 93 07 44 EC
13 07 00 03 93 06 20 00 93 05 0A 00 13 86 0A 00
13 85 07 00 EF F0 5F A2 13 07 44 EC B7 37 00 00
93 85 07 2D 13 05 07 00 EF F0 DF DE 13 07 44 EC
93 07 C4 EC 93 05 07 00 13 85 07 00 EF F0 9F DD
03 27 C4 FD 93 07 70 00 63 1C F7 00 13 07 C4 EC
B7 37 00 00 93 85 47 2D 13 05 07 00 EF F0 9F DB
83 27 C4 FD 93 87 17 00 23 2E F4 FC 03 27 C4 FD
93 07 F0 00 E3 DE E7 F2 13 07 C4 EC B7 37 00 00
93 85 87 2D 13 05 07 00 EF F0 DF D8 23 2E 04 FC
6F 00 00 08 83 27 44 FD 23 28 F4 FC 83 27 C4 FD
13 85 07 00 EF F0 DF B4 93 07 44 EC 13 85 07 00
EF F0 DF 87 B7 17 00 00 13 85 47 2A EF F0 1F 87
03 27 C4 FD 93 07 70 00 63 18 F7 00 B7 17 00 00
13 85 87 2A EF F0 9F 85 83 27 C4 FD 93 87 17 00
23 2E F4 FC 03 27 C4 FD 93 07 F0 00 E3 DE E7 F4
B7 17 00 00 13 85 C7 2A EF F0 5F 83 23 2E 04 FC
6F 00 40 07 83 27 44 FD 23 28 F4 FC 83 27 C4 FD
03 27 04 FD B3 07 F7 00 03 C7 07 00 93 07 F0 01
63 FA E7 02 83 27 C4 FD 03 27 04 FD B3 07 F7 00
03 C7 07 00 93 07 E0 07 63 EE E7 00 83 27 C4 FD
03 27 04 FD B3 07 F7 00 83 C7 07 00 23 00 F4 EC
6F 00 C0 00 93 07 E0 02 23 00 F4 EC A3 00 04 EC
13 07 04 EC 93 07 C4 EC 93 05 07 00 13 85 07 00
EF F0 5F D1 83 27 C4 FD 93 87 17 00 23 2E F4 FC
03 27 C4 FD 93 07 F0 00 E3 DE E7 F6 13 07 C4 EC
B7 37 00 00 93 85 C7 2D 13 05 07 00 EF F0 9F CE
93 07 C4 EC 13 85 07 00 EF F0 0F DC 83 27 44 FD
6F 00 C0 00 93 07 E0 02 23 00 F4 EC 83 47 04 EC
13 85 07 00 EF F0 4F F2 83 27 C4 FD 93 87 17 00
23 2E F4 FC 03 27 C4 FD 93 07 F0 00 E3 D4 E7 F8
B7 17 00 00 13 85 07 2B EF F0 4F FA 83 27 44 FD
93 87 07 01 23 2A F4 FC 83 27 44 FD 93 F7 F7 0F
63 80 07 02 83 27 84 FD 93 87 17 00 23 2C F4 FC
03 27 84 FD 93 07 F0 00 E3 D2 E7 E0 6F 00 80 00
13 00 00 00 B7 37 00 00 13 85 07 2E EF F0 CF D7
03 27 44 FD 23 A8 E1 80 13 00 00 00 83 20 C1 13
03 27 84 FD 93 07 F0 00 E3 D4 E7 E4 6F 00 80 00
13 00 00 00 B7 17 00 00 13 85 47 2B EF F0 0F F6
03 27 44 FD 23 AC E1 C2 13 00 00 00 83 20 C1 13
03 24 81 13 03 29 41 13 83 29 01 13 03 2A C1 12
83 2A 81 12 13 01 01 14 67 80 00 00 13 01 01 FB
23 26 11 04 23 24 81 04 23 22 21 05 23 20 31 05
13 04 01 05 EF 10 80 2B 23 20 A4 FE 23 22 B4 FE
23 26 04 FE 6F 00 80 11 B7 37 00 00 13 85 C7 35
EF F0 8F D1 B7 57 00 00 13 87 87 9F 83 27 C4 FE
93 97 27 00 B3 07 F7 00 83 A7 07 00 13 85 07 00
EF F0 8F CF B7 57 00 00 13 87 07 9D 83 27 C4 FE
93 97 27 00 B3 07 F7 00 83 A7 07 00 E7 80 07 00
23 2C A4 FC 23 2E B4 FC 03 27 84 FD 83 27 C4 FD
93 06 04 FB 93 05 07 00 13 86 07 00 13 85 06 00
EF F0 8F DF 93 07 04 FB 13 85 07 00 EF F0 CF CA
B7 37 00 00 13 85 07 36 EF F0 0F CA 03 27 C4 FD
93 07 40 06 33 07 F7 02 83 27 84 FD 93 06 00 00
B3 87 D7 02 B3 06 F7 00 03 27 84 FD 93 07 40 06
33 06 F7 02 B3 39 F7 02 13 09 06 00 B3 87 36 01
93 89 07 00 03 26 04 FE 83 26 44 FE 13 05 09 00
93 85 09 00 EF 10 10 33 13 07 05 00 93 87 05 00
23 2C E4 FC 23 2E F4 FC 03 27 84 FD 83 27 C4 FD
93 06 04 FB 93 05 07 00 13 86 07 00 13 85 06 00
EF F0 8F D6 93 07 04 FB 13 85 07 00 EF F0 CF C1
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 90 00 E3 D2 E7 EE B7 37 00 00 13 85 07 2E
EF F0 8F BF 13 00 00 00 83 20 C1 04 03 24 81 04
03 29 41 04 83 29 01 04 13 01 01 05 67 80 00 00
13 01 01 FE 23 2E 11 00 23 2C 81 00 13 04 01 02
B7 57 00 00 93 87 87 EC 23 22 F4 FE B7 67 00 00
93 87 87 EC 23 20 F4 FE 23 24 04 FE EF 10 80 1A
23 26 04 FE 6F 00 00 04 83 27 44 FE 13 87 47 00
23 22 E4 FE 03 A7 07 00 83 27 04 FE 93 86 47 00
23 20 D4 FE 83 A7 07 00 33 07 F7 02 83 27 84 FE
B3 07 F7 00 23 24 F4 FE 83 27 C4 FE 93 87 17 00
23 26 F4 FE 03 27 C4 FE 93 07 F0 3F E3 DE E7 FA
EF 10 00 16 EF F0 9F E0 EF 10 C0 14 13 00 00 00
83 20 C1 01 03 24 81 01 13 01 01 02 67 80 00 00
13 01 01 FF 23 26 11 00 23 24 81 00 13 04 01 01
B7 37 00 00 13 85 47 36 EF F0 0F B2 B7 37 00 00
13 85 47 38 EF F0 4F B1 B7 37 00 00 13 85 87 3A
EF F0 8F B0 B7 37 00 00 13 85 07 3D EF F0 CF AF
B7 37 00 00 13 85 47 40 EF F0 0F AF 13 00 00 00
83 20 C1 00 03 24 81 00 13 01 01 01 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 41 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 50 68 B7 37 00 00 13 87 C7 45 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 10 66 B7 37 00 00 13 87 C7 49
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 D0 63 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 07 F7 00
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 41 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 50 58 B7 37 00 00 13 87 C7 45 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 10 56 B7 37 00 00 13 87 C7 4D
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 D0 53 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 07 F7 40
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 41 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 50 48 B7 37 00 00 13 87 C7 45 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 10 46 B7 37 00 00 13 87 C7 51
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 D0 43 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 07 F7 02
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 41 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 50 38 B7 37 00 00 13 87 C7 45 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 10 36 B7 37 00 00 13 87 C7 55
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 D0 33 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 47 F7 02
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 41 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 50 28 B7 37 00 00 13 87 C7 45 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 10 26 B7 37 00 00 13 87 C7 59
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 D0 23 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 67 F7 02
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 5D 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 50 18 B7 37 00 00 13 87 C7 61 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 10 16 B7 37 00 00 13 87 C7 65
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 D0 13 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 07 F7 00
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 5D 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 50 08 B7 37 00 00 13 87 C7 61 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 10 06 B7 37 00 00 13 87 C7 69
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 D0 03 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 07 F7 40
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 5D 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 40 78 B7 37 00 00 13 87 C7 61 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 00 76 B7 37 00 00 13 87 C7 6D
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 C0 73 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 07 F7 02
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 5D 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 40 68 B7 37 00 00 13 87 C7 61 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 00 66 B7 37 00 00 13 87 C7 71
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 C0 63 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 57 F7 02
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 F2 23 2E 11 0C 23 2C 81 0C 13 04 01 0E
B7 37 00 00 13 87 C7 5D 93 07 C4 FA 93 06 07 00
13 07 00 04 13 06 07 00 93 85 06 00 13 85 07 00
EF 10 40 58 B7 37 00 00 13 87 C7 61 93 07 C4 F6
93 06 07 00 13 07 00 04 13 06 07 00 93 85 06 00
13 85 07 00 EF 10 00 56 B7 37 00 00 13 87 C7 75
93 07 C4 F2 93 06 07 00 13 07 00 04 13 06 07 00
93 85 06 00 13 85 07 00 EF 10 C0 53 23 26 04 FE
6F 00 C0 05 83 27 C4 FE 93 97 27 00 93 87 07 FF
B3 87 87 00 03 A7 C7 FB 83 27 C4 FE 93 97 27 00
93 87 07 FF B3 87 87 00 83 A7 C7 F7 33 77 F7 02
83 27 C4 FE 93 97 27 00 93 87 07 FF B3 87 87 00
83 A7 C7 F3 63 06 F7 00 83 27 C4 FE 6F 00 00 02
83 27 C4 FE 93 87 17 00 23 26 F4 FE 03 27 C4 FE
93 07 F0 00 E3 D0 E7 FA 93 07 F0 FF 13 85 07 00
83 20 C1 0D 03 24 81 0D 13 01 01 0E 67 80 00 00
13 01 01 FD 23 26 11 02 23 24 81 02 23 22 21 03
23 20 31 03 13 04 01 03 B7 47 00 00 13 85 47 81
EF E0 9F 8B 23 26 04 FE 6F 00 80 0A B7 57 00 00
13 87 87 A4 83 27 C4 FE 93 97 27 00 B3 07 F7 00
83 A7 07 00 13 85 07 00 EF E0 1F 89 B7 57 00 00
13 87 07 A2 83 27 C4 FE 93 97 27 00 B3 07 F7 00
83 A7 07 00 E7 80 07 00 23 24 A4 FE 03 27 84 FE
93 07 F0 FF 63 1A F7 00 B7 47 00 00 13 85 07 82
EF E0 9F 85 6F 00 00 04 83 27 84 FE 13 89 07 00
93 D7 F7 41 93 89 07 00 93 07 C4 FD 93 05 09 00
13 86 09 00 13 85 07 00 EF E0 1F 97 B7 47 00 00
13 85 87 82 EF E0 5F 82 93 07 C4 FD 13 85 07 00
EF E0 9F 81 83 27 C4 FE 93 87 17 00 23 26 F4 FE
03 27 C4 FE 93 07 90 00 E3 DA E7 F4 B7 37 00 00
13 85 07 2E EF E0 4F FF 13 00 00 00 83 20 C1 02
03 24 81 02 03 29 41 02 83 29 01 02 13 01 01 03
67 80 00 00 13 01 01 E7 23 26 11 18 23 24 81 18
23 22 21 19 23 20 31 19 23 2E 41 17 23 2C 51 17
23 2A 61 17 23 28 71 17 23 26 81 17 23 24 91 17
23 22 A1 17 23 20 B1 17 13 04 01 19 23 2E A4 E6
23 2C B4 E6 B7 07 00 F0 23 24 F4 FC B7 07 00 F0
93 87 07 01 23 22 F4 FC B7 57 00 00 83 A7 C7 E9
93 87 07 01 13 07 20 1B 23 A0 E7 00 23 20 04 FC
23 2E 04 FA EF 00 C0 4D 13 09 05 00 93 89 05 00
EF 00 C0 4D 13 07 05 00 93 87 05 00 13 06 40 06
93 06 00 00 13 05 07 00 93 85 07 00 EF 00 90 61
13 07 05 00 93 87 05 00 13 06 07 00 93 86 07 00
13 05 09 00 93 85 09 00 EF 00 D0 5F 13 07 05 00
93 87 05 00 23 2C E4 FA EF E0 8F DD 93 07 05 00
63 88 07 0A EF 00 C0 47 93 07 04 E8 13 07 00 03
93 06 80 00 13 86 05 00 93 05 05 00 13 85 07 00
EF E0 9F A2 93 07 04 E8 13 85 07 00 EF E0 CF ED
B7 47 00 00 13 85 47 83 EF E0 0F ED EF 00 00 45
93 07 04 E8 13 07 00 03 93 06 80 00 13 86 05 00
93 05 05 00 13 85 07 00 EF E0 1F 9F 93 07 04 E8
13 85 07 00 EF E0 4F EA B7 47 00 00 13 85 47 83
EF E0 8F E9 83 27 84 FB 13 8A 07 00 93 D7 F7 41
93 8A 07 00 93 07 04 E8 93 05 0A 00 13 86 0A 00
13 85 07 00 EF E0 4F FB 93 07 04 E8 13 85 07 00
EF E0 8F E6 B7 47 00 00 13 85 87 83 EF E0 CF E5
EF E0 0F D6 93 07 05 00 E3 8C 07 FE 93 07 04 E8
93 05 F0 0F 13 85 07 00 EF E0 8F EA 13 00 00 00
B7 47 00 00 13 85 C7 83 EF E0 0F E3 93 07 04 E8
13 85 07 00 EF E0 4F E2 B7 37 00 00 13 85 07 2E
EF E0 8F E1 13 07 04 E8 13 06 40 00 B7 47 00 00
93 85 07 84 13 05 07 00 EF E0 9F DA 93 07 05 00
63 96 07 00 EF F0 CF AB 6F 00 40 35 03 47 04 E8
93 07 30 06 63 16 F7 00 EF 00 C0 3D 6F 00 00 34
03 47 04 E8 93 07 C0 06 63 16 F7 00 EF F0 0F 88
6F 00 C0 32 03 47 04 E8 93 07 40 07 63 16 F7 00
EF F0 1F CE 6F 00 80 31 03 47 04 E8 93 07 D0 06
63 16 F7 00 EF F0 CF 9C 6F 00 40 30 03 47 04 E8
93 07 20 06 63 18 F7 04 93 07 04 E8 93 87 27 00
93 05 00 00 13 85 07 00 EF E0 9F A6 23 2E A4 F8
83 27 C4 F9 63 54 F0 02 B7 F7 FA 02 13 87 07 08
83 27 C4 F9 33 47 F7 02 B7 57 00 00 83 A7 C7 E9
93 87 07 01 23 A0 E7 00 6F 00 40 2B EF F0 4F A1
6F 00 C0 2A 03 47 04 E8 93 07 40 06 63 1A F7 02
93 07 04 E8 93 87 27 00 93 05 00 00 13 85 07 00
EF E0 DF B0 23 20 A4 FA 83 27 04 FA 63 56 F0 00
03 27 04 FA 23 A8 E1 80 EF E0 1F D5 6F 00 00 27
03 47 04 E8 93 07 70 07 63 1C F7 0A 93 07 04 E8
93 87 27 00 13 07 44 F8 93 05 07 00 13 85 07 00
EF E0 DF AC 23 26 A4 FA 83 27 44 F8 13 07 44 F8
93 05 07 00 13 85 07 00 EF E0 5F AB 23 24 A4 FA
83 27 44 F8 13 07 44 F8 93 05 07 00 13 85 07 00
EF E0 DF A9 23 22 A4 FA 03 27 44 FA 93 07 10 00
63 1C F7 00 83 27 C4 FA 03 27 84 FA 13 77 F7 0F
23 80 E7 00 6F 00 80 1F 03 27 44 FA 93 07 20 00
63 1E F7 00 83 27 C4 FA 03 27 84 FA 13 17 07 01
13 57 07 41 23 90 E7 00 6F 00 40 1D 03 27 44 FA
93 07 40 00 63 1A F7 00 83 27 C4 FA 03 27 84 FA
23 A0 E7 00 6F 00 80 1B EF F0 8F 91 6F 00 00 1B
03 47 04 E8 93 07 20 07 63 12 F7 1A 23 26 04 FC
93 07 04 E8 93 87 27 00 13 07 04 F8 93 05 07 00
13 85 07 00 EF E0 9F A0 23 2A A4 FA 83 27 04 F8
13 07 04 F8 93 05 07 00 13 85 07 00 EF E0 1F 9F
23 28 A4 FA 03 27 04 FB 93 07 10 00 63 10 F7 02
83 27 44 FB 83 C7 07 00 23 26 F4 FC B7 47 00 00
13 85 87 84 EF E0 4F BE 6F 00 80 05 03 27 04 FB
93 07 20 00 63 10 F7 02 83 27 44 FB 83 97 07 00
23 26 F4 FC B7 47 00 00 13 85 07 85 EF E0 CF BB
6F 00 00 03 03 27 04 FB 93 07 40 00 63 10 F7 02
83 27 44 FB 83 A7 07 00 23 26 F4 FC B7 47 00 00
13 85 87 85 EF E0 4F B9 6F 00 80 00 EF F0 4F 85
03 27 04 FB 93 07 10 00 63 0E F7 00 03 27 04 FB
93 07 20 00 63 08 F7 00 03 27 04 FB 93 07 40 00
63 16 F7 0C 83 27 44 FB 13 8B 07 00 93 D7 F7 41
93 8B 07 00 93 07 04 E8 13 07 00 03 93 06 80 00
93 05 0B 00 13 86 0B 00 13 85 07 00 EF E0 CF E7
93 07 04 E8 13 85 07 00 EF E0 0F B3 B7 47 00 00
13 85 07 86 EF E0 4F B2 83 27 C4 FC 13 8C 07 00
93 D7 F7 41 93 8C 07 00 93 07 04 E8 93 05 0C 00
13 86 0C 00 13 85 07 00 EF E0 0F C4 93 07 04 E8
13 85 07 00 EF E0 4F AF B7 47 00 00 13 85 47 86
EF E0 8F AE 83 27 C4 FC 13 8D 07 00 93 D7 F7 41
93 8D 07 00 83 27 04 FB 93 96 17 00 93 07 04 E8
13 07 00 03 93 05 0D 00 13 86 0D 00 13 85 07 00
EF E0 8F DF 93 07 04 E8 13 85 07 00 EF E0 CF AA
B7 47 00 00 13 85 87 86 EF E0 0F AA 13 00 00 00
EF E0 0F 96 93 07 05 00 E3 8C 07 FE 6F F0 9F B2
F3 25 00 C8 73 25 00 C0 67 80 00 00 F3 25 20 C8
73 25 20 C0 67 80 00 00 F3 25 00 CA 73 25 00 C2
67 80 00 00 F3 25 10 CA 73 25 10 C2 67 80 00 00
F3 25 20 CA 73 25 20 C2 67 80 00 00 F3 25 30 CA
73 25 30 C2 67 80 00 00 F3 25 40 CA 73 25 40 C2
67 80 00 00 F3 25 50 CA 73 25 50 C2 67 80 00 00
F3 25 60 CA 73 25 60 C2 67 80 00 00 F3 25 70 CA
73 25 70 C2 67 80 00 00 F3 25 80 CA 73 25 80 C2
67 80 00 00 F3 25 00 CC 73 25 00 C4 67 80 00 00
F3 25 10 CC 73 25 10 C4 67 80 00 00 13 03 05 00
13 8E 05 00 93 08 00 00 63 DC 05 00 B3 37 A0 00
33 0E B0 40 33 0E FE 40 33 03 A0 40 93 08 F0 FF
63 DC 06 00 B3 37 C0 00 B3 06 D0 40 93 C8 F8 FF
B3 86 F6 40 33 06 C0 40 13 07 06 00 13 08 03 00
93 07 0E 00 63 9C 06 28 B7 45 00 00 93 85 C5 86
63 76 CE 0E B7 06 01 00 63 78 D6 0C 93 36 06 10
93 C6 16 00 93 96 36 00 33 55 D6 00 B3 85 A5 00
83 C5 05 00 13 05 00 02 B3 86 D5 00 B3 05 D5 40
63 0C D5 00 B3 17 BE 00 B3 56 D3 00 33 17 B6 00
B3 E7 F6 00 33 18 B3 00 93 55 07 01 33 DE B7 02
13 16 07 01 13 56 06 01 B3 F7 B7 02 13 05 0E 00
33 03 C6 03 93 96 07 01 93 57 08 01 B3 E7 D7 00
63 FE 67 00 B3 87 E7 00 13 05 FE FF 63 E8 E7 00
63 F6 67 00 13 05 EE FF B3 87 E7 00 B3 87 67 40
33 D3 B7 02 13 18 08 01 13 58 08 01 B3 F7 B7 02
B3 06 66 02 93 97 07 01 33 68 F8 00 93 07 03 00
63 7C D8 00 33 08 07 01 93 07 F3 FF 63 66 E8 00
63 74 D8 00 93 07 E3 FF 13 15 05 01 33 65 F5 00
93 05 00 00 6F 00 00 0E 37 05 00 01 93 06 00 01
E3 6C A6 F2 93 06 80 01 6F F0 1F F3 63 14 06 00
73 00 10 00 B7 07 01 00 63 7A F6 0C 93 36 06 10
93 C6 16 00 93 96 36 00 B3 57 D6 00 B3 85 F5 00
83 C7 05 00 B3 87 D7 00 93 06 00 02 B3 85 F6 40
63 90 F6 0C B3 07 CE 40 93 05 10 00 13 53 07 01
B3 DE 67 02 13 16 07 01 13 56 06 01 93 56 08 01
B3 F7 67 02 13 85 0E 00 33 0E D6 03 93 97 07 01
B3 E7 F6 00 63 FE C7 01 B3 87 E7 00 13 85 FE FF
63 E8 E7 00 63 F6 C7 01 13 85 EE FF B3 87 E7 00
B3 87 C7 41 33 DE 67 02 13 18 08 01 13 58 08 01
B3 F7 67 02 B3 06 C6 03 93 97 07 01 33 68 F8 00
93 07 0E 00 63 7C D8 00 33 08 07 01 93 07 FE FF
63 66 E8 00 63 74 D8 00 93 07 EE FF 13 15 05 01
33 65 F5 00 63 8A 08 00 B3 37 A0 00 B3 05 B0 40
B3 85 F5 40 33 05 A0 40 67 80 00 00 B7 07 00 01
93 06 00 01 E3 6A F6 F2 93 06 80 01 6F F0 DF F2
33 17 B6 00 B3 56 FE 00 13 55 07 01 33 1E BE 00
B3 57 F3 00 B3 E7 C7 01 33 DE A6 02 13 16 07 01
13 56 06 01 33 18 B3 00 B3 F6 A6 02 33 03 C6 03
93 95 06 01 93 D6 07 01 B3 E6 B6 00 93 05 0E 00
63 FE 66 00 B3 86 E6 00 93 05 FE FF 63 E8 E6 00
63 F6 66 00 93 05 EE FF B3 86 E6 00 B3 86 66 40
33 D3 A6 02 93 97 07 01 93 D7 07 01 B3 F6 A6 02
33 06 66 02 93 96 06 01 B3 E7 D7 00 93 06 03 00
63 FE C7 00 B3 87 E7 00 93 06 F3 FF 63 E8 E7 00
63 F6 C7 00 93 06 E3 FF B3 87 E7 00 93 95 05 01
B3 87 C7 40 B3 E5 D5 00 6F F0 5F EA 63 66 DE 18
B7 07 01 00 63 F4 F6 04 13 B7 06 10 13 47 17 00
13 17 37 00 B7 47 00 00 B3 D5 E6 00 93 87 C7 86
B3 87 B7 00 83 C7 07 00 B3 87 E7 00 13 07 00 02
B3 05 F7 40 63 16 F7 02 13 05 10 00 E3 E4 C6 EF
33 35 C3 00 13 45 15 00 6F F0 DF ED B7 07 00 01
13 07 00 01 E3 E0 F6 FC 13 07 80 01 6F F0 9F FB
33 57 F6 00 B3 96 B6 00 B3 66 D7 00 33 57 FE 00
33 1E BE 00 B3 57 F3 00 B3 E7 C7 01 13 DE 06 01
33 5F C7 03 13 98 06 01 13 58 08 01 33 16 B6 00
33 77 C7 03 B3 0E E8 03 13 15 07 01 13 D7 07 01
33 67 A7 00 13 05 0F 00 63 7E D7 01 33 07 D7 00
13 05 FF FF 63 68 D7 00 63 76 D7 01 13 05 EF FF
33 07 D7 00 33 07 D7 41 B3 5E C7 03 93 97 07 01
93 D7 07 01 33 77 C7 03 33 08 D8 03 13 17 07 01
B3 E7 E7 00 13 87 0E 00 63 FE 07 01 B3 87 D7 00
13 87 FE FF 63 E8 D7 00 63 F6 07 01 13 87 EE FF
B3 87 D7 00 13 15 05 01 B7 0E 01 00 33 65 E5 00
93 86 FE FF 33 77 D5 00 B3 87 07 41 B3 76 D6 00
13 58 05 01 13 56 06 01 33 0E D7 02 B3 06 D8 02
33 07 C7 02 33 08 C8 02 33 06 D7 00 13 57 0E 01
33 07 C7 00 63 74 D7 00 33 08 D8 01 93 56 07 01
B3 86 06 01 63 E6 D7 02 E3 94 D7 CE B7 07 01 00
93 87 F7 FF 33 77 F7 00 13 17 07 01 33 7E FE 00
33 13 B3 00 33 07 C7 01 93 05 00 00 E3 74 E3 DA
13 05 F5 FF 6F F0 DF CB 93 05 00 00 13 05 00 00
6F F0 5F D9 13 8E 05 00 13 08 00 00 63 DC 05 00
B3 37 A0 00 B3 05 B0 40 33 8E F5 40 33 05 A0 40
13 08 F0 FF 63 DA 06 00 B3 37 C0 00 B3 06 D0 40
B3 86 F6 40 33 06 C0 40 93 08 06 00 93 07 05 00
93 05 0E 00 63 94 06 24 37 47 00 00 13 07 C7 86
63 76 CE 0E B7 06 01 00 63 78 D6 0C 93 36 06 10
93 C6 16 00 93 96 36 00 33 53 D6 00 33 07 67 00
03 47 07 00 33 07 D7 00 93 06 00 02 33 83 E6 40
63 8C E6 00 B3 15 6E 00 33 57 E5 00 B3 18 66 00
B3 65 B7 00 B3 17 65 00 93 D6 08 01 33 D7 D5 02
13 95 08 01 13 55 05 01 B3 F5 D5 02 33 07 A7 02
13 96 05 01 93 D5 07 01 B3 E5 C5 00 63 FA E5 00
B3 85 15 01 63 E6 15 01 63 F4 E5 00 B3 85 15 01
B3 85 E5 40 33 D7 D5 02 93 97 07 01 93 D7 07 01
B3 F5 D5 02 33 07 A7 02 93 95 05 01 B3 E7 B7 00
63 FA E7 00 B3 87 17 01 63 E6 17 01 63 F4 E7 00
B3 87 17 01 B3 87 E7 40 33 D5 67 00 93 05 00 00
63 0A 08 00 B3 37 A0 00 B3 05 B0 40 B3 85 F5 40
33 05 A0 40 67 80 00 00 37 03 00 01 93 06 00 01
E3 6C 66 F2 93 06 80 01 6F F0 1F F3 63 14 06 00
73 00 10 00 B7 06 01 00 63 72 D6 0A 93 36 06 10
93 C6 16 00 93 96 36 00 B3 55 D6 00 33 07 B7 00
03 47 07 00 33 07 D7 00 93 06 00 02 33 83 E6 40
63 98 E6 08 33 07 CE 40 93 D5 08 01 33 56 B7 02
13 95 08 01 13 55 05 01 93 D6 07 01 33 77 B7 02
33 06 A6 02 13 17 07 01 33 E7 E6 00 63 7A C7 00
33 07 17 01 63 66 17 01 63 74 C7 00 33 07 17 01
33 07 C7 40 B3 56 B7 02 93 97 07 01 93 D7 07 01
33 77 B7 02 B3 86 A6 02 13 17 07 01 B3 E7 E7 00
63 FA D7 00 B3 87 17 01 63 E6 17 01 63 F4 D7 00
B3 87 17 01 B3 87 D7 40 6F F0 1F F2 B7 05 00 01
93 06 00 01 E3 62 B6 F6 93 06 80 01 6F F0 DF F5
B3 18 66 00 B3 56 EE 00 B3 15 6E 00 33 57 E5 00
B3 17 65 00 13 D5 08 01 33 67 B7 00 B3 D5 A6 02
13 9E 08 01 13 5E 0E 01 B3 F6 A6 02 B3 85 C5 03
13 96 06 01 93 56 07 01 B3 E6 C6 00 63 FA B6 00
B3 86 16 01 63 E6 16 01 63 F4 B6 00 B3 86 16 01
B3 86 B6 40 33 D6 A6 02 13 17 07 01 13 57 07 01
B3 F6 A6 02 33 06 C6 03 93 96 06 01 33 67 D7 00
63 7A C7 00 33 07 17 01 63 66 17 01 63 74 C7 00
33 07 17 01 33 07 C7 40 6F F0 1F EF E3 62 DE E8
37 07 01 00 63 FC E6 04 13 B7 06 10 13 47 17 00
13 17 37 00 B7 48 00 00 33 D3 E6 00 93 88 C8 86
B3 88 68 00 03 C3 08 00 33 03 E3 00 13 07 00 02
B3 08 67 40 63 1E 67 02 63 E4 C6 01 63 6C C5 00
33 06 C5 40 B3 06 DE 40 B3 35 C5 00 B3 85 B6 40
93 07 06 00 13 85 07 00 6F F0 9F E2 B7 08 00 01
13 07 00 01 E3 E8 16 FB 13 07 80 01 6F F0 9F FA
B3 57 66 00 B3 96 16 01 B3 E6 D7 00 33 57 6E 00
93 DE 06 01 B3 5F D7 03 B3 15 1E 01 13 9E 06 01
13 5E 0E 01 B3 57 65 00 B3 E5 B7 00 93 D7 05 01
33 16 16 01 33 15 15 01 33 77 D7 03 33 0F FE 03
13 17 07 01 B3 E7 E7 00 13 87 0F 00 63 FE E7 01
B3 87 D7 00 13 87 FF FF 63 E8 D7 00 63 F6 E7 01
13 87 EF FF B3 87 D7 00 B3 87 E7 41 33 DF D7 03
93 95 05 01 93 D5 05 01 B3 F7 D7 03 33 0E EE 03
93 97 07 01 B3 E5 F5 00 93 07 0F 00 63 FE C5 01
B3 85 D5 00 93 07 FF FF 63 E8 D5 00 63 F6 C5 01
93 07 EF FF B3 85 D5 00 13 17 07 01 B7 0F 01 00
33 67 F7 00 B3 85 C5 41 13 8E FF FF B3 77 C7 01
93 5E 06 01 13 57 07 01 33 7E C6 01 33 8F C7 03
33 0E C7 03 B3 87 D7 03 33 07 D7 03 B3 8E C7 01
93 57 0F 01 B3 87 D7 01 63 F4 C7 01 33 07 F7 01
13 DE 07 01 33 07 EE 00 37 0E 01 00 13 0E FE FF
B3 F7 C7 01 93 97 07 01 33 7F CF 01 B3 87 E7 01
63 E6 E5 00 63 9E E5 00 63 7C F5 00 33 86 C7 40
B3 B7 C7 00 B3 87 D7 00 33 07 F7 40 93 07 06 00
B3 07 F5 40 33 35 F5 00 B3 85 E5 40 B3 85 A5 40
33 93 65 00 B3 D7 17 01 33 65 F3 00 B3 D5 15 01
6F F0 1F CC 13 03 05 00 93 88 05 00 13 07 06 00
13 08 05 00 93 87 05 00 63 92 06 28 B7 45 00 00
93 85 C5 86 63 F6 C8 0E B7 06 01 00 63 78 D6 0C
93 36 06 10 93 C6 16 00 93 96 36 00 33 55 D6 00
B3 85 A5 00 83 C5 05 00 13 05 00 02 B3 86 D5 00
B3 05 D5 40 63 0C D5 00 B3 97 B8 00 B3 56 D3 00
33 17 B6 00 B3 E7 F6 00 33 18 B3 00 93 55 07 01
33 D3 B7 02 13 16 07 01 13 56 06 01 B3 F7 B7 02
13 05 03 00 B3 08 66 02 93 96 07 01 93 57 08 01
B3 E7 D7 00 63 FE 17 01 B3 87 E7 00 13 05 F3 FF
63 E8 E7 00 63 F6 17 01 13 05 E3 FF B3 87 E7 00
B3 87 17 41 B3 D8 B7 02 13 18 08 01 13 58 08 01
B3 F7 B7 02 B3 06 16 03 93 97 07 01 33 68 F8 00
93 87 08 00 63 7C D8 00 33 08 07 01 93 87 F8 FF
63 66 E8 00 63 74 D8 00 93 87 E8 FF 13 15 05 01
33 65 F5 00 93 05 00 00 67 80 00 00 37 05 00 01
93 06 00 01 E3 6C A6 F2 93 06 80 01 6F F0 1F F3
63 14 06 00 73 00 10 00 B7 07 01 00 63 70 F6 0C
93 36 06 10 93 C6 16 00 93 96 36 00 B3 57 D6 00
B3 85 F5 00 83 C7 05 00 B3 87 D7 00 93 06 00 02
B3 85 F6 40 63 96 F6 0A B3 87 C8 40 93 05 10 00
93 58 07 01 33 DE 17 03 13 16 07 01 13 56 06 01
93 56 08 01 B3 F7 17 03 13 05 0E 00 33 03 C6 03
93 97 07 01 B3 E7 F6 00 63 FE 67 00 B3 87 E7 00
13 05 FE FF 63 E8 E7 00 63 F6 67 00 13 05 EE FF
B3 87 E7 00 B3 87 67 40 33 D3 17 03 13 18 08 01
13 58 08 01 B3 F7 17 03 B3 06 66 02 93 97 07 01
33 68 F8 00 93 07 03 00 63 7C D8 00 33 08 07 01
93 07 F3 FF 63 66 E8 00 63 74 D8 00 93 07 E3 FF
13 15 05 01 33 65 F5 00 67 80 00 00 B7 07 00 01
93 06 00 01 E3 64 F6 F4 93 06 80 01 6F F0 1F F4
33 17 B6 00 B3 D6 F8 00 13 55 07 01 B3 57 F3 00
33 18 B3 00 33 D3 A6 02 13 16 07 01 B3 98 B8 00
13 56 06 01 B3 E7 17 01 B3 F6 A6 02 B3 08 66 02
93 95 06 01 93 D6 07 01 B3 E6 B6 00 93 05 03 00
63 FE 16 01 B3 86 E6 00 93 05 F3 FF 63 E8 E6 00
63 F6 16 01 93 05 E3 FF B3 86 E6 00 B3 86 16 41
B3 D8 A6 02 93 97 07 01 93 D7 07 01 B3 F6 A6 02
33 06 16 03 93 96 06 01 B3 E7 D7 00 93 86 08 00
63 FE C7 00 B3 87 E7 00 93 86 F8 FF 63 E8 E7 00
63 F6 C7 00 93 86 E8 FF B3 87 E7 00 93 95 05 01
B3 87 C7 40 B3 E5 D5 00 6F F0 9F EB 63 E6 D5 18
B7 07 01 00 63 F4 F6 04 13 B7 06 10 13 47 17 00
13 17 37 00 B7 47 00 00 B3 D5 E6 00 93 87 C7 86
B3 87 B7 00 83 C7 07 00 B3 87 E7 00 13 07 00 02
B3 05 F7 40 63 16 F7 02 13 05 10 00 E3 EE 16 E1
33 35 C3 00 13 45 15 00 67 80 00 00 B7 07 00 01
13 07 00 01 E3 E0 F6 FC 13 07 80 01 6F F0 9F FB
33 57 F6 00 B3 96 B6 00 B3 66 D7 00 33 D7 F8 00
B3 98 B8 00 B3 57 F3 00 B3 E7 17 01 93 D8 06 01
B3 5E 17 03 13 98 06 01 13 58 08 01 33 16 B6 00
33 77 17 03 33 0E D8 03 13 15 07 01 13 D7 07 01
33 67 A7 00 13 85 0E 00 63 7E C7 01 33 07 D7 00
13 85 FE FF 63 68 D7 00 63 76 C7 01 13 85 EE FF
33 07 D7 00 33 07 C7 41 33 5E 17 03 93 97 07 01
93 D7 07 01 33 77 17 03 33 08 C8 03 13 17 07 01
B3 E7 E7 00 13 07 0E 00 63 FE 07 01 B3 87 D7 00
13 07 FE FF 63 E8 D7 00 63 F6 07 01 13 07 EE FF
B3 87 D7 00 13 15 05 01 37 0E 01 00 33 65 E5 00
93 06 FE FF 33 77 D5 00 B3 87 07 41 B3 76 D6 00
13 58 05 01 13 56 06 01 B3 08 D7 02 B3 06 D8 02
33 07 C7 02 33 08 C8 02 33 06 D7 00 13 D7 08 01
33 07 C7 00 63 74 D7 00 33 08 C8 01 93 56 07 01
B3 86 06 01 63 E6 D7 02 E3 9E D7 CE B7 07 01 00
93 87 F7 FF 33 77 F7 00 13 17 07 01 B3 F8 F8 00
33 13 B3 00 33 07 17 01 93 05 00 00 E3 7E E3 CC
13 05 F5 FF 6F F0 1F CD 93 05 00 00 13 05 00 00
67 80 00 00 13 01 01 FF 93 05 00 00 23 24 81 00
23 26 11 00 13 04 05 00 EF 00 00 2C B7 57 00 00
03 A5 87 E9 83 27 C5 03 63 84 07 00 E7 80 07 00
13 05 04 00 EF 00 40 4D 13 01 01 FF 23 24 81 00
23 20 21 01 37 54 00 00 37 59 00 00 93 07 04 9C
13 09 09 9C 33 09 F9 40 23 26 11 00 23 22 91 00
13 59 29 40 63 00 09 02 13 04 04 9C 93 04 00 00
83 27 04 00 93 84 14 00 13 04 44 00 E7 80 07 00
E3 18 99 FE 37 54 00 00 37 59 00 00 93 07 04 9C
13 09 89 9C 33 09 F9 40 13 59 29 40 63 00 09 02
13 04 04 9C 93 04 00 00 83 27 04 00 93 84 14 00
13 04 44 00 E7 80 07 00 E3 18 99 FE 83 20 C1 00
03 24 81 00 83 24 41 00 03 29 01 00 13 01 01 01
67 80 00 00 B3 47 B5 00 93 F7 37 00 B3 08 C5 00
63 96 07 06 93 07 30 00 63 F2 C7 06 93 77 35 00
13 07 05 00 63 9A 07 0C 13 F6 C8 FF B3 06 E6 40
93 07 00 02 93 02 00 02 63 C2 D7 06 93 86 05 00
93 07 07 00 63 78 C7 02 03 A8 06 00 93 87 47 00
93 86 46 00 23 AE 07 FF E3 E8 C7 FE 93 07 F6 FF
B3 87 E7 40 93 F7 C7 FF 93 87 47 00 33 07 F7 00
B3 85 F5 00 63 68 17 01 67 80 00 00 13 07 05 00
E3 7C 15 FF 83 C7 05 00 13 07 17 00 93 85 15 00
A3 0F F7 FE E3 68 17 FF 67 80 00 00 83 A6 45 00
83 A7 C5 01 83 AF 05 00 03 AF 85 00 83 AE C5 00
03 AE 05 01 03 A3 45 01 03 A8 85 01 23 22 D7 00
83 A6 05 02 23 20 F7 01 23 24 E7 01 23 26 D7 01
23 28 C7 01 23 2A 67 00 23 2C 07 01 23 2E F7 00
13 07 47 02 B3 07 E6 40 23 2E D7 FE 93 85 45 02
E3 C6 F2 FA 6F F0 9F F4 83 C6 05 00 13 07 17 00
93 77 37 00 A3 0F D7 FE 93 85 15 00 E3 8E 07 F0
83 C6 05 00 13 07 17 00 93 77 37 00 A3 0F D7 FE
93 85 15 00 E3 9A 07 FC 6F F0 1F F0 13 03 F0 00
83 2A 81 12 13 01 01 14 67 80 00 00 13 01 01 FF
23 26 11 00 23 24 81 00 13 04 01 01 B7 17 00 00
13 85 87 2B EF F0 8F F1 B7 17 00 00 13 85 87 2D
EF F0 CF F0 B7 17 00 00 13 85 C7 2F EF F0 0F F0
B7 17 00 00 13 85 47 32 EF F0 4F EF B7 17 00 00
13 85 87 35 EF F0 8F EE 13 00 00 00 83 20 C1 00
03 24 81 00 13 01 01 01 67 80 00 00 13 01 01 E8
23 2E 11 16 23 2C 81 16 23 2A 21 17 23 28 31 17
23 26 41 17 23 24 51 17 13 04 01 18 23 26 A4 E8
23 24 B4 E8 B7 07 00 F0 23 2C F4 FC B7 07 00 F0
93 87 07 01 23 2A F4 FC 83 A7 C1 C2 93 87 07 01
13 07 20 1B 23 A0 E7 00 23 28 04 FC 23 26 04 FC
EF F0 CF D5 93 07 05 00 63 88 07 00 B7 17 00 00
13 85 07 37 EF F0 8F E6 EF F0 0F D8 93 07 05 00
E3 8C 07 FE 93 07 44 E9 93 05 F0 0F 13 85 07 00
EF F0 4F EB 13 00 00 00 B7 17 00 00 13 85 47 37
EF F0 CF E3 93 07 44 E9 13 85 07 00 EF F0 0F E3
B7 17 00 00 13 85 47 2B EF F0 4F E2 03 47 44 E9
93 07 80 06 63 16 F7 00 EF F0 5F EE 6F 00 C0 2E
03 47 44 E9 93 07 20 06 63 16 F7 04 93 07 44 E9
93 87 27 00 93 05 00 00 13 85 07 00 EF F0 DF A5
23 28 A4 FA 83 27 04 FB 63 52 F0 02 B7 F7 FA 02
13 87 07 08 83 27 04 FB 33 47 F7 02 83 A7 C1 C2
93 87 07 01 23 A0 E7 00 6F 00 00 2A EF F0 1F E9
6F 00 80 29 03 47 44 E9 93 07 40 06 63 1A F7 02
93 07 44 E9 93 87 27 00 93 05 00 00 13 85 07 00
EF F0 5F B0 23 2A A4 FA 83 27 44 FB 63 56 F0 00
03 27 44 FB 23 AC E1 C2 EF F0 5F C2 6F 00 C0 25
03 47 44 E9 93 07 70 07 63 1C F7 0A 93 07 44 E9
93 87 27 00 13 07 84 F9 93 05 07 00 13 85 07 00
EF F0 5F AC 23 20 A4 FC 83 27 84 F9 13 07 84 F9
93 05 07 00 13 85 07 00 EF F0 DF AA 23 2E A4 FA
83 27 84 F9 13 07 84 F9 93 05 07 00 13 85 07 00
EF F0 5F A9 23 2C A4 FA 03 27 84 FB 93 07 10 00
63 1C F7 00 83 27 04 FC 03 27 C4 FB 13 77 F7 0F
23 80 E7 00 6F 00 40 1E 03 27 84 FB 93 07 20 00
63 1E F7 00 83 27 04 FC 03 27 C4 FB 13 17 07 01
13 57 07 41 23 90 E7 00 6F 00 00 1C 03 27 84 FB
93 07 40 00 63 1A F7 00 83 27 04 FC 03 27 C4 FB
23 A0 E7 00 6F 00 40 1A EF F0 5F D9 6F 00 C0 19
03 47 44 E9 93 07 20 07 63 18 F7 18 23 2E 04 FC
93 07 44 E9 93 87 27 00 13 07 44 F9 93 05 07 00
13 85 07 00 EF F0 1F A0 23 24 A4 FC 83 27 44 F9
13 07 44 F9 93 05 07 00 13 85 07 00 EF F0 9F 9E
23 22 A4 FC 03 27 44 FC 93 07 10 00 63 10 F7 02
83 27 84 FC 83 C7 07 00 23 2E F4 FC B7 17 00 00
13 85 87 37 EF F0 8F C5 6F 00 80 05 03 27 44 FC
93 07 20 00 63 10 F7 02 83 27 84 FC 83 97 07 00
23 2E F4 FC B7 17 00 00 13 85 07 38 EF F0 0F C3
6F 00 00 03 03 27 44 FC 93 07 40 00 63 10 F7 02
83 27 84 FC 83 A7 07 00 23 2E F4 FC B7 17 00 00
13 85 87 38 EF F0 8F C0 6F 00 80 00 EF F0 1F CD
03 27 44 FC 93 07 10 00 63 0E F7 00 03 27 44 FC
93 07 20 00 63 08 F7 00 03 27 44 FC 93 07 40 00
63 1C F7 0A 83 27 84 FC 13 89 07 00 93 D7 F7 41
93 89 07 00 93 07 44 E9 13 07 00 03 93 06 80 00
93 05 09 00 13 86 09 00 13 85 07 00 EF F0 4F E7
93 07 44 E9 13 85 07 00 EF F0 4F BA B7 17 00 00
13 85 07 39 EF F0 8F B9 93 07 44 E9 83 25 C4 FD
13 85 07 00 EF F0 8F CC 93 07 44 E9 13 85 07 00
EF F0 CF B7 B7 17 00 00 13 85 47 39 EF F0 0F B7
83 27 C4 FD 13 8A 07 00 93 D7 F7 41 93 8A 07 00
83 27 44 FC 93 96 17 00 93 07 44 E9 13 07 00 03
93 05 0A 00 13 86 0A 00 13 85 07 00 EF F0 4F E0
93 07 44 E9 13 85 07 00 EF F0 4F B3 B7 17 00 00
13 85 87 39 EF F0 8F B2 13 00 00 00 EF F0 0F A0
93 07 05 00 E3 8C 07 FE 6F F0 9F C9 97 21 00 00
93 81 01 CB 13 85 41 C3 13 86 81 C5 33 06 A6 40
93 05 00 00 EF 00 80 04 03 25 01 00 93 05 41 00
13 06 00 00 EF F0 9F C1 6F 00 40 00 13 01 01 FF
93 05 00 00 23 24 81 00 23 26 11 00 13 04 05 00
EF 00 80 0F 03 A5 81 C2 83 27 C5 03 63 84 07 00
E7 80 07 00 13 05 04 00 EF 00 80 30 13 03 F0 00
13 07 05 00 63 7E C3 02 93 77 F7 00 63 90 07 0A
63 92 05 08 93 76 06 FF 13 76 F6 00 B3 86 E6 00
23 20 B7 00 23 22 B7 00 23 24 B7 00 23 26 B7 00
......@@ -765,57 +251,48 @@ A3 01 B7 00 23 01 B7 00 A3 00 B7 00 23 00 B7 00
93 96 05 01 B3 E5 D5 00 6F F0 DF F6 93 96 27 00
97 02 00 00 B3 86 56 00 93 82 00 00 E7 80 06 FA
93 80 02 00 93 87 07 FF 33 07 F7 40 33 06 F6 00
E3 78 C3 F6 6F F0 DF F3 13 01 01 FD B7 57 00 00
23 2C 41 01 03 AA 87 E9 23 20 21 03 23 26 11 02
03 29 8A 14 23 24 81 02 23 22 91 02 23 2E 31 01
23 2A 51 01 23 28 61 01 23 26 71 01 23 24 81 01
63 00 09 04 13 0B 05 00 93 8B 05 00 93 0A 10 00
93 09 F0 FF 83 24 49 00 13 84 F4 FF 63 42 04 02
93 94 24 00 B3 04 99 00 63 84 0B 04 83 A7 44 10
63 80 77 05 13 04 F4 FF 93 84 C4 FF E3 16 34 FF
83 20 C1 02 03 24 81 02 83 24 41 02 03 29 01 02
83 29 C1 01 03 2A 81 01 83 2A 41 01 03 2B 01 01
83 2B C1 00 03 2C 81 00 13 01 01 03 67 80 00 00
83 27 49 00 83 A6 44 00 93 87 F7 FF 63 8E 87 04
23 A2 04 00 E3 88 06 FA 83 27 89 18 33 97 8A 00
03 2C 49 00 B3 77 F7 00 63 92 07 02 E7 80 06 00
03 27 49 00 83 27 8A 14 63 14 87 01 E3 84 27 F9
E3 88 07 F8 13 89 07 00 6F F0 DF F5 83 27 C9 18
83 A5 44 08 33 77 F7 00 63 1C 07 00 13 05 0B 00
E7 80 06 00 6F F0 DF FC 23 22 89 00 6F F0 9F FA
13 85 05 00 E7 80 06 00 6F F0 9F FB 13 01 01 FF
23 24 81 00 B7 57 00 00 37 54 00 00 93 87 87 9C
13 04 C4 9C 33 04 F4 40 23 22 91 00 23 26 11 00
93 54 24 40 63 80 04 02 13 04 C4 FF 33 04 F4 00
83 27 04 00 93 84 F4 FF 13 04 C4 FF E7 80 07 00
E3 98 04 FE 83 20 C1 00 03 24 81 00 83 24 41 00
13 01 01 01 67 80 00 00 93 05 05 00 93 06 00 00
13 06 00 00 13 05 00 00 6F 00 40 00 B7 57 00 00
03 A7 87 E9 83 27 87 14 63 8C 07 04 03 A7 47 00
13 08 F0 01 63 4E E8 06 13 18 27 00 63 06 05 02
33 83 07 01 23 24 C3 08 83 A8 87 18 13 06 10 00
33 16 E6 00 B3 E8 C8 00 23 A4 17 19 23 24 D3 10
93 06 20 00 63 04 D5 02 13 07 17 00 23 A2 E7 00
B3 87 07 01 23 A4 B7 00 13 05 00 00 67 80 00 00
93 07 C7 14 23 24 F7 14 6F F0 5F FA 83 A6 C7 18
13 07 17 00 23 A2 E7 00 B3 E6 C6 00 23 A6 D7 18
B3 87 07 01 23 A4 B7 00 13 05 00 00 67 80 00 00
13 05 F0 FF 67 80 00 00 93 08 D0 05 73 00 00 00
63 44 05 00 6F 00 00 00 13 01 01 FF 23 24 81 00
13 04 05 00 23 26 11 00 33 04 80 40 EF 00 C0 00
23 20 85 00 6F 00 00 00 B7 57 00 00 03 A5 07 EA
67 80 00 00
@000032C8
20 20 00 00 20 20 20 00 20 00 00 00 2D 20 00 00
20 20 7C 00 7C 0A 00 00 0A 0D 00 00 74 6F 74 61
6C 20 20 20 3A 20 00 00 61 64 64 2F 73 75 62 20
3A 20 00 00 6D 75 6C 20 20 20 20 20 3A 20 00 00
64 69 76 20 20 20 20 20 3A 20 00 00 6C 64 20 20
20 20 20 20 3A 20 00 00 73 74 20 20 20 20 20 20
3A 20 00 00 6A 6D 70 20 20 20 20 20 3A 20 00 00
6A 20 20 20 20 20 20 20 3A 20 00 00 61 6C 75 69
20 20 20 20 3A 20 00 00 61 6C 75 20 20 20 20 20
3A 20 00 00 0A 00 00 00 2C 20 00 00 20 20 64 20
E3 78 C3 F6 6F F0 DF F3 13 01 01 FD 23 2C 41 01
03 AA 81 C2 23 20 21 03 23 26 11 02 03 29 8A 14
23 24 81 02 23 22 91 02 23 2E 31 01 23 2A 51 01
23 28 61 01 23 26 71 01 23 24 81 01 63 00 09 04
13 0B 05 00 93 8B 05 00 93 0A 10 00 93 09 F0 FF
83 24 49 00 13 84 F4 FF 63 42 04 02 93 94 24 00
B3 04 99 00 63 84 0B 04 83 A7 44 10 63 80 77 05
13 04 F4 FF 93 84 C4 FF E3 16 34 FF 83 20 C1 02
03 24 81 02 83 24 41 02 03 29 01 02 83 29 C1 01
03 2A 81 01 83 2A 41 01 03 2B 01 01 83 2B C1 00
03 2C 81 00 13 01 01 03 67 80 00 00 83 27 49 00
83 A6 44 00 93 87 F7 FF 63 8E 87 04 23 A2 04 00
E3 88 06 FA 83 27 89 18 33 97 8A 00 03 2C 49 00
B3 77 F7 00 63 92 07 02 E7 80 06 00 03 27 49 00
83 27 8A 14 63 14 87 01 E3 84 27 F9 E3 88 07 F8
13 89 07 00 6F F0 DF F5 83 27 C9 18 83 A5 44 08
33 77 F7 00 63 1C 07 00 13 05 0B 00 E7 80 06 00
6F F0 DF FC 23 22 89 00 6F F0 9F FA 13 85 05 00
E7 80 06 00 6F F0 9F FB 13 01 01 FF 23 24 81 00
B7 27 00 00 37 24 00 00 93 87 87 3A 13 04 C4 3A
33 04 F4 40 23 22 91 00 23 26 11 00 93 54 24 40
63 80 04 02 13 04 C4 FF 33 04 F4 00 83 27 04 00
93 84 F4 FF 13 04 C4 FF E7 80 07 00 E3 98 04 FE
83 20 C1 00 03 24 81 00 83 24 41 00 13 01 01 01
67 80 00 00 93 05 05 00 93 06 00 00 13 06 00 00
13 05 00 00 6F 00 40 00 03 A7 81 C2 83 27 87 14
63 8C 07 04 03 A7 47 00 13 08 F0 01 63 4E E8 06
13 18 27 00 63 06 05 02 33 83 07 01 23 24 C3 08
83 A8 87 18 13 06 10 00 33 16 E6 00 B3 E8 C8 00
23 A4 17 19 23 24 D3 10 93 06 20 00 63 04 D5 02
13 07 17 00 23 A2 E7 00 B3 87 07 01 23 A4 B7 00
13 05 00 00 67 80 00 00 93 07 C7 14 23 24 F7 14
6F F0 5F FA 83 A6 C7 18 13 07 17 00 23 A2 E7 00
B3 E6 C6 00 23 A6 D7 18 B3 87 07 01 23 A4 B7 00
13 05 00 00 67 80 00 00 13 05 F0 FF 67 80 00 00
93 08 D0 05 73 00 00 00 63 44 05 00 6F 00 00 00
13 01 01 FF 23 24 81 00 13 04 05 00 23 26 11 00
33 04 80 40 EF 00 C0 00 23 20 85 00 6F 00 00 00
03 A5 01 C3 67 80 00 00
@0000129C
20 20 00 00 20 20 20 00 20 00 00 00 2D 00 00 00
20 20 7C 00 7C 0A 00 00 0A 0D 00 00 20 20 64 20
3C 61 64 64 72 3E 20 2D 2D 20 64 69 73 70 6C 61
79 20 6D 65 6D 6F 72 79 20 0A 00 00 20 20 62 20
3C 62 61 75 64 72 61 74 65 3E 20 2D 2D 20 73 65
......@@ -827,115 +304,17 @@ B3 87 07 01 23 A4 B7 00 13 05 00 00 67 80 00 00
68 3E 20 2D 2D 20 77 72 69 74 65 20 6D 65 6D 6F
72 79 20 77 6F 72 64 0A 00 00 00 00 20 20 20 20
77 69 64 74 68 3D 31 2C 20 32 20 6F 72 20 34 0A
00 00 00 00 C5 96 FF 30 C9 14 BD CA 0D 34 0F A1
91 58 8C F3 55 26 0A 7C 59 81 61 26 9D 8D 72 75
21 AF 68 B9 E5 89 3E 7B E9 01 82 C1 2D 3B 58 12
B1 99 C1 56 75 C1 1D 03 79 96 EF 28 BD 3C E1 55
41 18 08 64 78 EA 7F 15 6C 80 CC 17 A0 32 F0 C2
14 D5 B7 76 C8 7B 32 B1 BC 7A 65 F7 F0 65 40 B6
64 11 D1 AF 18 91 B7 C8 0C 39 DA 49 40 9D 59 EA
B4 91 C4 35 68 2A 8C 22 5C BB B7 EC 90 D8 D8 88
04 56 3F 43 3D 81 7F 46 35 95 89 E2 AD 66 FF 63
A5 2D 44 6A 1D A2 3C 2D 15 FC C6 1D 8D F3 B2 2B
85 C0 39 69 FD 1A F6 43 F5 3A 5C 0B 6D D8 B1 FC
65 2B 86 8C DD EB A9 25 D5 51 A7 15 4D 15 BA DE
45 6E 47 A7 4D AC 7F 1B 5D 94 F0 B2 6D 01 1F DE
7D 83 D4 7C 8D AA D7 CA 9D 06 FC 2E AD 27 32 BF
BD 9D 97 09 CD F8 86 B2 DD C8 A7 77 ED 9D FE 27
FD 07 FD 20 0D 97 91 E0 1D DB 37 3C 2D 64 08 CD
3D C2 C8 20 58 BE 59 8C CC 44 55 B1 20 12 DB 47
54 90 4E F2 68 C9 F2 09 5C 67 5C 8E 30 B4 83 52
E4 99 76 E9 78 A2 AA 74 EC F7 EE 15 40 64 FE 66
74 51 B1 F8 88 C9 CF 7B 7C 76 83 D5 50 A2 69 01
04 37 45 43 02 00 00 00 FE FF FF FF 01 00 00 00
00 00 00 00 FF FF FF FF FC FF FF FF FF FF FF FF
00 00 00 00 FE FF FF FF 00 00 00 00 00 00 00 00
01 00 00 00 00 00 00 00 FE FF FF FF 00 00 00 00
01 00 00 00 D5 C1 FF 05 A1 15 56 FA 6D 01 1F DE
91 58 8C F3 1D A2 3C 2D 49 6C F7 03 8D F3 B2 2B
21 AF 68 B9 15 AC AD 0C E9 01 82 C1 2D 3B 58 12
FD 07 FD 20 75 C1 1D 03 31 0D 5F 02 BD 3C E1 55
3D C2 C8 20 5E 7B 53 F9 82 89 1E 0C E6 42 A5 9C
8A 2B D1 6F 6E 07 C5 48 92 DA C0 FE F6 E8 45 AA
9A B6 7A 2D 7E 07 CF 9B A2 DF DF 44 06 83 9B 66
AA 75 A5 CA 8E 7B FA D2 B2 98 D4 B9 16 11 CF 08
BA 68 4A 8B A9 24 0B B3 3D 8A D7 94 11 EE AD D8
25 C4 E1 C2 79 C0 1A 92 0D D7 A8 CD E1 3B 18 4E
F5 62 05 34 49 00 31 41 DD 07 D4 47 B1 AD 33 A4
C5 65 75 F4 19 E4 B2 82 AD 1C 4E 15 81 43 85 19
95 CC 46 5C 07 A0 5E AC BF 13 F6 A0 F7 30 53 75
AF EF B2 32 E7 C7 DF DA 9F B1 69 CC D7 24 5E F8
8F 19 80 61 C7 07 00 DD 7F E7 B3 8C B7 30 CF 0A
6F DB 1A BF A7 5F AD 55 5F B5 22 CF 97 54 54 22
4F 35 91 E7 B5 56 48 46 45 FF 46 77 D5 54 F7 C3
65 67 EF AC F5 46 AA B6 85 03 18 31 15 AD 2D 5C
A5 53 75 F9 35 07 9E 5A C5 D7 0B FD 55 D5 67 C2
E5 0F 30 D6 75 97 47 50 05 7C 86 A4 95 CD 49 EF
25 9C 03 2F 0E A9 7F 5C FA D7 94 FD 46 45 99 50
F2 F2 DA 26 FE 02 DF A7 6A B7 2B 41 36 72 32 8F
62 B5 59 59 EE 22 27 DB DA 7C 89 B4 26 A5 42 FB
D2 9D 71 07 DE 88 3C B5 4A A8 9A F5 16 5E 3E A8
42 2C 9F D8 01 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00 01 00 00 00 02 00 00 00
00 00 00 00 02 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 01 00 00 00 08 00 00 00 00 00 00 00
01 00 00 00 B5 56 48 46 82 89 1E 0C E6 42 A5 9C
8A 2B D1 6F 6E 07 C5 48 85 03 18 31 34 71 15 0E
9A B6 7A 2D EC 06 6D 19 A2 DF DF 44 06 83 9B 66
AA 75 A5 CA 75 97 47 50 4A B3 63 0F 16 11 CF 08
25 9C 03 2F 0A 20 20 61 64 64 3A 20 00 00 00 00
0A 20 20 73 75 62 3A 20 00 00 00 00 0A 20 20 6D
75 6C 3A 20 00 00 00 00 0A 20 20 64 69 76 3A 20
00 00 00 00 0A 20 20 6D 6F 64 3A 20 00 00 00 00
0A 20 20 61 64 64 75 3A 20 00 00 00 0A 20 20 73
75 62 75 3A 20 00 00 00 0A 20 20 6D 75 6C 75 3A
20 00 00 00 0A 20 20 64 69 76 75 3A 20 00 00 00
0A 20 20 6D 6F 64 75 3A 20 00 00 00 0A 0D 74 65
73 74 69 73 61 3A 00 00 70 61 73 73 65 64 00 00
66 61 69 6C 65 64 20 61 74 20 00 00 3A 00 00 00
3E 3E 00 00 0A 0D 3A 00 68 65 6C 70 20 00 00 00
63 68 61 72 20 40 00 00 73 68 6F 72 74 20 40 00
69 6E 74 20 40 00 00 00 20 3D 20 00 28 00 00 00
29 0A 0D 00 00 01 02 02 03 03 03 03 04 04 04 04
04 04 04 04 05 05 05 05 05 05 05 05 05 05 05 05
05 05 05 05 06 06 06 06 06 06 06 06 06 06 06 06
06 06 06 06 06 06 06 06 06 06 06 06 06 06 06 06
06 06 06 06 07 07 07 07 07 07 07 07 07 07 07 07
07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07
07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07
07 07 07 07 07 07 07 07 07 07 07 07 07 07 07 07
07 07 07 07 08 08 08 08 08 08 08 08 08 08 08 08
08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08
08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08
08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08
08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08
08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08
08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08
08 08 08 08 08 08 08 08 08 08 08 08 08 08 08 08
08 08 08 08
@0000496C
10 00 00 00 00 00 00 00 03 7A 52 00 01 7C 01 01
1B 0D 02 00 10 00 00 00 18 00 00 00 08 D7 FF FF
78 04 00 00 00 00 00 00 10 00 00 00 2C 00 00 00
6C DB FF FF 50 04 00 00 00 00 00 00 10 00 00 00
40 00 00 00 A8 DF FF FF 30 04 00 00 00 00 00 00
00 00 00 00 3E 3E 00 00 0A 0D 3A 00 63 68 61 72
20 40 00 00 73 68 6F 72 74 20 40 00 69 6E 74 20
40 00 00 00 20 3D 20 00 28 00 00 00 29 0A 0D 00
@0000239C
00 00 00 00
@000049C0
74 00 00 00 20 01 00 00
@000049C8
DC 00 00 00
@000049D0
00 20 00 00 0C 20 00 00 18 20 00 00 24 20 00 00
30 20 00 00 3C 20 00 00 48 20 00 00 54 20 00 00
60 20 00 00 6C 20 00 00 E4 32 00 00 F0 32 00 00
FC 32 00 00 08 33 00 00 14 33 00 00 20 33 00 00
2C 33 00 00 38 33 00 00 44 33 00 00 50 33 00 00
A4 0F 00 00 A4 10 00 00 A4 11 00 00 A4 12 00 00
A4 13 00 00 A4 14 00 00 A4 15 00 00 A4 16 00 00
A4 17 00 00 A4 18 00 00 9C 37 00 00 A8 37 00 00
B4 37 00 00 C0 37 00 00 CC 37 00 00 D8 37 00 00
E4 37 00 00 F0 37 00 00 FC 37 00 00 08 38 00 00
00 00 00 00 5C 4D 00 00 C4 4D 00 00 2C 4E 00 00
@000023A0
74 00 00 00 D0 00 00 00
@000023A8
8C 00 00 00
@000023B0
00 00 00 00 9C 26 00 00 04 27 00 00 6C 27 00 00
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
......@@ -1002,5 +381,5 @@ E4 37 00 00 F0 37 00 00 FC 37 00 00 08 38 00 00
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00
00 00 00 00 00 00 00 00
@00004E98
70 4A 00 00 00 01 00 F0 70 4A 00 00
@000027D8
B0 23 00 00 00 01 00 F0 B0 23 00 00
:10007400930700006388070037350000130505184F
:100084006F30801567800000975100009381C16034
:100094001385C18017760000130606E33306A640D5
:1000A40093050000EF20906D17350000130505132C
:1000B40063080500173500001305850CEF30C011E7
:1000C400EF20904F03250100930541001306000023
:1000D400EF10501D6F20104B130101FF23248100EA
:1000E40083C741812326110063920702930700000E
:1000F400638A0700375500001305C5969700000072
:10010400E700000093071000238AF1808320C100D8
:10011400032481001301010167800000930700009C
:10012400638E0700B755000037550000938505EB33
:100134001305C596170300006700000067800000E0
:10014400130101FF2326810013040101B7570000A6
:1001540083A7C7E99387870003A7070023A6E18045
:1001640083A7C18093F7170093B7170093F7F70F8E
:10017400138507000324C1001301010167800000F7
:10018400130101FF2326810013040101B757000066
:1001940083A7C7E99387870003A7070023A6E18005
:1001A40003A7C180B7070100B377F70093B717001F
:1001B40093F7F70F138507000324C100130101010E
:1001C40067800000130101FE232E81001304010245
:1001D4002326A4FEB757000083A7C7E993878700A7
:1001E40003A7070023A6E18083A7C18093F7170024
:1001F40063900702B757000083A7C7E993874700B6
:100204000327C4FE23A0E700930700006F008000CB
:100214009307F0FF138507000324C10113010102B2
:1002240067800000130101FF2326810013040101EC
:10023400B757000083A7C7E99387870003A7070080
:1002440023A6E18003A7C180B7070100B377F700B5
:10025400639A0700B757000083A7C7E983A707007D
:100264006F0080009307F0FF138507000324C1008B
:100274001301010167800000130101FE232E110008
:10028400232C8100130401022326A4FE6F00000323
:10029400130000008327C4FE83C7070013850700EB
:1002A400EFF05FF2130705009307F0FFE304F7FE96
:1002B4008327C4FE938717002326F4FE8327C4FEF6
:1002C40083C70700E39607FC930700001385070024
:1002D4008320C1010324810113010102678000000E
:1002E400130101FD232611022324810213040103B7
:1002F400232EA4FC232CB4FC232604FE032784FD14
:100304009307100063C6E700930700006F0080099D
:10031400EFF05FF12324A4FE032784FE9307F0FF8C
:10032400E308F7FE8327C4FE138717002326E4FEA1
:10033400138707008327C4FDB387E700032784FEE0
:100344001377F70F2380E70013000000032584FED2
:10035400EFF05FE7130705009307F0FFE308F7FEEC
:10036400832784FD9387F7FF0327C4FE6350F702B6
:10037400032784FE9307A000630CF700032784FE81
:100384009307D0006306F7006FF09FF81300000096
:100394008327C4FE0327C4FDB307F70023800700A7
:1003A4008327C4FE138507008320C102032481022E
:1003B4001301010367800000130101FC232E1102C5
:1003C400232C8102130401042326A4FC2320B4FC5F
:1003D4002322C4FC232404FE232204FE832744FC9A
:1003E40063DC07021306000093060000032504FCE7
:1003F400832544FC3307A64013080700333806015D
:10040400B387B640B3860741938706002320E4FCF4
:100414002322F4FC232204FE832704FC032744FC48
:10042400B3E7E7006390070A832784FE1387170066
:100434002324E4FE138707008327C4FCB387E70063
:10044400130700032380E7006F00C00B032704FC9D
:10045400832744FC1306A00093060000130507003D
:1004640093850700EF20000A130705009387050012
:100474001377F70F832784FE938617002324D4FE73
:10048400938607008327C4FCB387D70013070703A9
:100494001377F70F2380E700032704FC832744FC2A
:1004A4001306A000930600001305070093850700B8
:1004B400EF10D03D13070500938705002320E4FCCB
:1004C4002322F4FC832744FCE342F0F8832744FC12
:1004D40063960700832704FCE39A07F6832744FE08
:1004E40063820702832784FE138717002324E4FE14
:1004F400138707008327C4FCB387E7001307D002E0
:100504002380E700232604FE6F00C006832784FEB1
:100514001387F7FF8327C4FEB307F7402320F4FEB5
:100524008327C4FE0327C4FCB307F70083C707006F
:10053400A30FF4FC832704FE0327C4FC3307F7004E
:100544008327C4FE8326C4FCB387F6000347070051
:100554002380E700832704FE0327C4FCB307F700C6
:100564000347F4FD2380E7008327C4FE9387170025
:100574002326F4FE832784FE13D7F701B307F7007D
:1005840093D71740138707008327C4FEE3C0E7F817
:10059400832784FE0327C4FCB307F70023800700E6
:1005A400832784FE138507008320C103032481036A
:1005B4001301010467800000130101FB2326810459
:1005C400130401052326A4FC2320B4FC2322C4FC29
:1005D4002324D4FC93070700A30FF4FA232404FE76
:1005E4006F000009832704FC93F7F700232EF4FC23
:1005F4000327C4FD9307900063C4E7028327C4FD67
:1006040013F7F70F832784FE8326C4FCB387F60011
:10061400130707031377F70F2380E7006F004002E7
:100624008327C4FD13F7F70F832784FE8326C4FCB6
:10063400B387F600130777051377F70F2380E700D6
:10064400832744FC9397C701032704FC13584700EE
:1006540033E80701832744FC93D84700232004FD93
:10066400232214FD832784FE938717002324F4FE9A
:10067400832704FC032744FCB3E7E700E39407F66D
:100684006F004002832784FE138717002324E4FEAF
:10069400138707008327C4FCB387E7000347F4FBF1
:1006A4002380E700032784FE832784FCE34CF7FCC4
:1006B400232604FE6F00C006832784FE1387F7FFFA
:1006C4008327C4FEB307F7402322F4FE8327C4FE26
:1006D4000327C4FCB307F70083C70700A301F4FE94
:1006E400832744FE0327C4FC3307F7008327C4FE93
:1006F4008326C4FCB387F600034707002380E70082
:10070400832744FE0327C4FCB307F700034734FEE2
:100714002380E7008327C4FE938717002326F4FE73
:10072400832784FE13D7F701B307F70093D7174045
:10073400138707008327C4FEE3C0E7F8832784FEFA
:100744000327C4FCB307F70023800700832784FE34
:10075400138507000324C104130101056780000009
:10076400130101FD2326810213040103232EA4FC9B
:10077400232CB4FC232604FE232404FE9307100038
:100784002322F4FE6F00C0088327C4FD83C707003B
:100794002320F4FE032704FE9307F00263D2E70448
:1007A400032704FE9307900363CCE702032784FE28
:1007B4009307070093972700B387E70093971700E1
:1007C40013870700832704FEB307F700938707FD09
:1007D4002324F4FE930710002326F4FE6F00800206
:1007E4008327C4FE639E0702032704FE9307D002F7
:1007F400631AF7009307F0FF2322F4FE9307100017
:100804002326F4FE8327C4FD93871700232EF4FCCC
:100814008327C4FD83C70700E39807F66F008000B1
:1008240013000000032784FE832744FEB307F70266
:100834002324F4FE832784FD63880700832784FD33
:100844000327C4FD23A0E700832784FE1385070044
:100854000324C1021301010367800000130101FD99
:100864002326810213040103232EA4FC232CB4FCAD
:10087400232604FE232404FE6F00000E8327C4FDF8
:1008840083C707002322F4FE032744FE9307F002E4
:1008940063DAE702032744FE9307900363C4E70285
:1008A400930710002326F4FE832784FE1397470042
:1008B400832744FEB307F700938707FD2324F4FE40
:1008C4006F00C008032744FE9307000663DAE702BB
:1008D400032744FE9307600663C4E70293071000EE
:1008E4002326F4FE832784FE13974700832744FEC0
:1008F400B307F700938797FA2324F4FE6F000005EB
:10090400032744FE9307000463DAE702032744FE47
:100914009307600463C4E702930710002326F4FEE0
:10092400832784FE13974700832744FEB307F70009
:10093400938797FC2324F4FE6F0040018327C4FEB1
:1009440063940702930710002326F4FE8327C4FD53
:1009540093871700232EF4FC8327C4FD83C7070065
:10096400E39E07F06F00800013000000832784FDDE
:1009740063880700832784FD0327C4FD23A0E700C1
:10098400832784FE138507000324C1021301010396
:1009940067800000130101FD232681021304010373
:1009A400232EA4FC232CB4FC8327C4FD2326F4FEAD
:1009B4006F0000018327C4FD93871700232EF4FCE6
:1009C4008327C4FD83C70700E39607FE6F00400238
:1009D400032784FD93071700232CF4FC8327C4FD0D
:1009E40093861700232ED4FC034707002380E700D7
:1009F400832784FD83C70700E39C07FC8327C4FD8A
:100A0400238007000327C4FD8327C4FEB307F740F0
:100A1400138507000324C10213010103678000004A
:100A2400130101FD2326810213040103232EA4FCD8
:100A3400232CB4FC232AC4FC232604FE6F000005E7
:100A44008327C4FD13871700232EE4FC03C7070084
:100A5400832784FD93861700232CD4FC83C70700C7
:100A64006306F700930710006F0000048327C4FE99
:100A7400938717002326F4FE0327C4FE832744FD2F
:100A84006346F700930700006F0000028327C4FD4C
:100A940083C7070063880700832784FD83C7070093
:100AA400E39007FA93070000138507000324C102AB
:100AB4001301010367800000130101EC232E1112BE
:100AC400232C8112232A2113232831132326411393
:100AD400232451131304011483A7018193F707FFFF
:100AE400232AF4FC232C04FC6F00801F832744FD7D
:100AF40013890700930900009307C4EC130700034C
:100B040093068000930509001386090013850700E6
:100B1400EFF09FAA1307C4ECB73700009385872C26
:100B240013050700EFF01FE7232E04FC6F00000CF1
:100B3400832744FD2326F4FC0327C4FD832744FDB7
:100B44003307F70083A70181637EF7001307C4EC22
:100B5400B73700009385C72C13050700EFF09FE318
:100B64006F0000068327C4FD0327C4FCB307F70006
:100B740083C70700138A0700930A0000930744EC15
:100B8400130700039306200093050A0013860A0046
:100B940013850700EFF05FA2130744ECB73700009A
:100BA4009385072D13050700EFF0DFDE130744ECF0
:100BB4009307C4EC9305070013850700EFF09FDD4E
:100BC4000327C4FD93077000631CF7001307C4ECEC
:100BD400B73700009385472D13050700EFF09FDB1F
:100BE4008327C4FD93871700232EF4FC0327C4FD39
:100BF4009307F000E3DEE7F21307C4ECB737000015
:100C04009385872D13050700EFF0DFD8232E04FC0E
:100C14006F000008832744FD2328F4FC8327C4FDC8
:100C2400032704FDB307F70003C707009307F00188
:100C340063FAE7028327C4FD032704FDB307F70023
:100C440003C707009307E00763EEE7008327C4FDAB
:100C5400032704FDB307F70083C707002300F4EC60
:100C64006F00C0009307E0022300F4ECA30004EC3F
:100C7400130704EC9307C4EC9305070013850700DE
:100C8400EFF05FD18327C4FD93871700232EF4FC74
:100C94000327C4FD9307F000E3DEE7F61307C4EC73
:100CA400B73700009385C72D13050700EFF09FCEDB
:100CB4009307C4EC13850700EFF00FDC832744FD92
:100CC40093870701232AF4FC832744FD93F7F70F46
:100CD40063800702832784FD93871700232CF4FC89
:100CE400032784FD9307F000E3D2E7E06F00800060
:100CF40013000000B73700001385072EEFF0CFD79D
:100D0400032744FD23A8E180130000008320C113BE
:100D1400032481130329411383290113032AC112D4
:100D2400832A81121301011467800000130101FB5F
:100D340023261104232481042322210523203105A1
:100D440013040105EF10802B2320A4FE2322B4FEFC
:100D5400232604FE6F008011B73700001385C735C2
:100D6400EFF08FD1B75700001387879F8327C4FE06
:100D740093972700B307F70083A70700138507009D
:100D8400EFF08FCFB75700001387079D8327C4FE6A
:100D940093972700B307F70083A70700E7800700AE
:100DA400232CA4FC232EB4FC032784FD8327C4FD39
:100DB400930604FB930507001386070013850600BA
:100DC400EFF08FDF930704FB13850700EFF0CFCA22
:100DD400B737000013850736EFF00FCA0327C4FDA9
:100DE400930740063307F702832784FD9306000028
:100DF400B387D702B306F700032784FD93074006A1
:100E04003306F702B339F70213090600B387360134
:100E140093890700032604FE832644FE1305090074
:100E240093850900EF10103313070500938705001D
:100E3400232CE4FC232EF4FC032784FD8327C4FD28
:100E4400930604FB93050700138607001385060029
:100E5400EFF08FD6930704FB13850700EFF0CFC1A3
:100E64008327C4FE938717002326F4FE0327C4FEBA
:100E740093079000E3D2E7EEB73700001385072EFF
:100E8400EFF08FBF130000008320C104032481040A
:100E9400032941048329010413010105678000002B
:100EA400130101FE232E1100232C810013040102DF
:100EB400B7570000938787EC2322F4FEB76700003E
:100EC400938787EC2320F4FE232404FEEF10801A7A
:100ED400232604FE6F000004832744FE1387470083
:100EE4002322E4FE03A70700832704FE938647001A
:100EF4002320D4FE83A707003307F702832784FE49
:100F0400B307F7002324F4FE8327C4FE9387170056
:100F14002326F4FE0327C4FE9307F03FE3DEE7FA3B
:100F2400EF100016EFF09FE0EF10C0141300000064
:100F34008320C101032481011301010267800000A1
:100F4400130101FF2326110023248100130401014E
:100F5400B737000013854736EFF00FB2B7370000FC
:100F640013854738EFF04FB1B73700001385873A40
:100F7400EFF08FB0B73700001385073DEFF0CFAF28
:100F8400B737000013854740EFF00FAF13000000A0
:100F94008320C10003248100130101016780000044
:100FA400130101F2232E110C232C810C1304010EC6
:100FB400B73700001387C7419307C4FA93060700A5
:100FC4001307000413060700938506001385070022
:100FD400EF105068B73700001387C7459307C4F66E
:100FE4009306070013070004130607009385060001
:100FF40013850700EF101066B73700001387C74941
:101004009307C4F2930607001307000413060700AE
:101014009385060013850700EF10D063232604FE92
:101024006F00C0058327C4FE93972700938707FFAB
:10103400B387870003A7C7FB8327C4FE93972700C2
:10104400938707FFB387870083A7C7F73307F700A2
:101054008327C4FE93972700938707FFB3878700EE
:1010640083A7C7F36306F7008327C4FE6F0000025B
:101074008327C4FE938717002326F4FE0327C4FEA8
:101084009307F000E3D0E7FA9307F0FF1385070016
:101094008320C10D0324810D1301010E678000001C
:1010A400130101F2232E110C232C810C1304010EC5
:1010B400B73700001387C7419307C4FA93060700A4
:1010C4001307000413060700938506001385070021
:1010D400EF105058B73700001387C7459307C4F67D
:1010E4009306070013070004130607009385060000
:1010F40013850700EF101056B73700001387C74D4C
:101104009307C4F2930607001307000413060700AD
:101114009385060013850700EF10D053232604FEA1
:101124006F00C0058327C4FE93972700938707FFAA
:10113400B387870003A7C7FB8327C4FE93972700C1
:10114400938707FFB387870083A7C7F73307F74061
:101154008327C4FE93972700938707FFB3878700ED
:1011640083A7C7F36306F7008327C4FE6F0000025A
:101174008327C4FE938717002326F4FE0327C4FEA7
:101184009307F000E3D0E7FA9307F0FF1385070015
:101194008320C10D0324810D1301010E678000001B
:1011A400130101F2232E110C232C810C1304010EC4
:1011B400B73700001387C7419307C4FA93060700A3
:1011C4001307000413060700938506001385070020
:1011D400EF105048B73700001387C7459307C4F68C
:1011E40093060700130700041306070093850600FF
:1011F40013850700EF101046B73700001387C75157
:101204009307C4F2930607001307000413060700AC
:101214009385060013850700EF10D043232604FEB0
:101224006F00C0058327C4FE93972700938707FFA9
:10123400B387870003A7C7FB8327C4FE93972700C0
:10124400938707FFB387870083A7C7F73307F7029E
:101254008327C4FE93972700938707FFB3878700EC
:1012640083A7C7F36306F7008327C4FE6F00000259
:101274008327C4FE938717002326F4FE0327C4FEA6
:101284009307F000E3D0E7FA9307F0FF1385070014
:101294008320C10D0324810D1301010E678000001A
:1012A400130101F2232E110C232C810C1304010EC3
:1012B400B73700001387C7419307C4FA93060700A2
:1012C400130700041306070093850600138507001F
:1012D400EF105038B73700001387C7459307C4F69B
:1012E40093060700130700041306070093850600FE
:1012F40013850700EF101036B73700001387C75562
:101304009307C4F2930607001307000413060700AB
:101314009385060013850700EF10D033232604FEBF
:101324006F00C0058327C4FE93972700938707FFA8
:10133400B387870003A7C7FB8327C4FE93972700BF
:10134400938707FFB387870083A7C7F73347F7025D
:101354008327C4FE93972700938707FFB3878700EB
:1013640083A7C7F36306F7008327C4FE6F00000258
:101374008327C4FE938717002326F4FE0327C4FEA5
:101384009307F000E3D0E7FA9307F0FF1385070013
:101394008320C10D0324810D1301010E6780000019
:1013A400130101F2232E110C232C810C1304010EC2
:1013B400B73700001387C7419307C4FA93060700A1
:1013C400130700041306070093850600138507001E
:1013D400EF105028B73700001387C7459307C4F6AA
:1013E40093060700130700041306070093850600FD
:1013F40013850700EF101026B73700001387C7596D
:101404009307C4F2930607001307000413060700AA
:101414009385060013850700EF10D023232604FECE
:101424006F00C0058327C4FE93972700938707FFA7
:10143400B387870003A7C7FB8327C4FE93972700BE
:10144400938707FFB387870083A7C7F73367F7023C
:101454008327C4FE93972700938707FFB3878700EA
:1014640083A7C7F36306F7008327C4FE6F00000257
:101474008327C4FE938717002326F4FE0327C4FEA4
:101484009307F000E3D0E7FA9307F0FF1385070012
:101494008320C10D0324810D1301010E6780000018
:1014A400130101F2232E110C232C810C1304010EC1
:1014B400B73700001387C75D9307C4FA9306070084
:1014C400130700041306070093850600138507001D
:1014D400EF105018B73700001387C7619307C4F69D
:1014E40093060700130700041306070093850600FC
:1014F40013850700EF101016B73700001387C76570
:101504009307C4F2930607001307000413060700A9
:101514009385060013850700EF10D013232604FEDD
:101524006F00C0058327C4FE93972700938707FFA6
:10153400B387870003A7C7FB8327C4FE93972700BD
:10154400938707FFB387870083A7C7F73307F7009D
:101554008327C4FE93972700938707FFB3878700E9
:1015640083A7C7F36306F7008327C4FE6F00000256
:101574008327C4FE938717002326F4FE0327C4FEA3
:101584009307F000E3D0E7FA9307F0FF1385070011
:101594008320C10D0324810D1301010E6780000017
:1015A400130101F2232E110C232C810C1304010EC0
:1015B400B73700001387C75D9307C4FA9306070083
:1015C400130700041306070093850600138507001C
:1015D400EF105008B73700001387C7619307C4F6AC
:1015E40093060700130700041306070093850600FB
:1015F40013850700EF101006B73700001387C7697B
:101604009307C4F2930607001307000413060700A8
:101614009385060013850700EF10D003232604FEEC
:101624006F00C0058327C4FE93972700938707FFA5
:10163400B387870003A7C7FB8327C4FE93972700BC
:10164400938707FFB387870083A7C7F73307F7405C
:101654008327C4FE93972700938707FFB3878700E8
:1016640083A7C7F36306F7008327C4FE6F00000255
:101674008327C4FE938717002326F4FE0327C4FEA2
:101684009307F000E3D0E7FA9307F0FF1385070010
:101694008320C10D0324810D1301010E6780000016
:1016A400130101F2232E110C232C810C1304010EBF
:1016B400B73700001387C75D9307C4FA9306070082
:1016C400130700041306070093850600138507001B
:1016D400EF104078B73700001387C7619307C4F64B
:1016E40093060700130700041306070093850600FA
:1016F40013850700EF100076B73700001387C76D16
:101704009307C4F2930607001307000413060700A7
:101714009385060013850700EF10C073232604FE8B
:101724006F00C0058327C4FE93972700938707FFA4
:10173400B387870003A7C7FB8327C4FE93972700BB
:10174400938707FFB387870083A7C7F73307F70299
:101754008327C4FE93972700938707FFB3878700E7
:1017640083A7C7F36306F7008327C4FE6F00000254
:101774008327C4FE938717002326F4FE0327C4FEA1
:101784009307F000E3D0E7FA9307F0FF138507000F
:101794008320C10D0324810D1301010E6780000015
:1017A400130101F2232E110C232C810C1304010EBE
:1017B400B73700001387C75D9307C4FA9306070081
:1017C400130700041306070093850600138507001A
:1017D400EF104068B73700001387C7619307C4F65A
:1017E40093060700130700041306070093850600F9
:1017F40013850700EF100066B73700001387C77121
:101804009307C4F2930607001307000413060700A6
:101814009385060013850700EF10C063232604FE9A
:101824006F00C0058327C4FE93972700938707FFA3
:10183400B387870003A7C7FB8327C4FE93972700BA
:10184400938707FFB387870083A7C7F73357F70248
:101854008327C4FE93972700938707FFB3878700E6
:1018640083A7C7F36306F7008327C4FE6F00000253
:101874008327C4FE938717002326F4FE0327C4FEA0
:101884009307F000E3D0E7FA9307F0FF138507000E
:101894008320C10D0324810D1301010E6780000014
:1018A400130101F2232E110C232C810C1304010EBD
:1018B400B73700001387C75D9307C4FA9306070080
:1018C4001307000413060700938506001385070019
:1018D400EF104058B73700001387C7619307C4F669
:1018E40093060700130700041306070093850600F8
:1018F40013850700EF100056B73700001387C7752C
:101904009307C4F2930607001307000413060700A5
:101914009385060013850700EF10C053232604FEA9
:101924006F00C0058327C4FE93972700938707FFA2
:10193400B387870003A7C7FB8327C4FE93972700B9
:10194400938707FFB387870083A7C7F73377F70227
:101954008327C4FE93972700938707FFB3878700E5
:1019640083A7C7F36306F7008327C4FE6F00000252
:101974008327C4FE938717002326F4FE0327C4FE9F
:101984009307F000E3D0E7FA9307F0FF138507000D
:101994008320C10D0324810D1301010E6780000013
:1019A400130101FD23261102232481022322210392
:1019B4002320310313040103B74700001385478133
:1019C400EFE09F8B232604FE6F00800AB7570000C8
:1019D400138787A48327C4FE93972700B307F700D0
:1019E40083A7070013850700EFE01F89B75700009E
:1019F400138707A28327C4FE93972700B307F70032
:101A040083A70700E78007002324A4FE032784FE9E
:101A14009307F0FF631AF700B747000013850782A6
:101A2400EFE09F856F000004832784FE138907007D
:101A340093D7F741938907009307C4FD93050900E1
:101A44001386090013850700EFE01F97B7470000CE
:101A540013858782EFE05F829307C4FD1385070037
:101A6400EFE09F818327C4FE938717002326F4FEAB
:101A74000327C4FE93079000E3DAE7F4B7370000C6
:101A84001385072EEFE04FFF130000008320C102EF
:101A94000324810203294102832901021301010362
:101AA40067800000130101E72326111823248118FD
:101AB4002322211923203119232E4117232C5117B6
:101AC400232A6117232871172326811723249117AA
:101AD4002322A1172320B11713040119232EA4E6EE
:101AE400232CB4E6B70700F02324F4FCB70700F076
:101AF400938707012322F4FCB757000083A7C7E9A3
:101B0400938707011307201B23A0E700232004FC6D
:101B1400232E04FAEF00C04D130905009389050034
:101B2400EF00C04D13070500938705001306400618
:101B3400930600001305070093850700EF009061EA
:101B44001307050093870500130607009386070013
:101B54001305090093850900EF00D05F1307050002
:101B640093870500232CE4FAEFE08FDD930705004B
:101B74006388070AEF00C047930704E813070003CC
:101B8400930680001386050093050500138507005E
:101B9400EFE09FA2930704E813850700EFE0CFED81
:101BA400B747000013854783EFE00FEDEF000045D2
:101BB400930704E8130700039306800013860500C7
:101BC4009305050013850700EFE01F9F930704E8C2
:101BD40013850700EFE04FEAB747000013854783FA
:101BE400EFE08FE9832784FB138A070093D7F7413B
:101BF400938A0700930704E893050A0013860A00F2
:101C040013850700EFE04FFB930704E813850700F3
:101C1400EFE08FE6B747000013858783EFE0CFE559
:101C2400EFE00FD693070500E38C07FE930704E863
:101C34009305F00F13850700EFE08FEA130000000F
:101C4400B74700001385C783EFE00FE3930704E869
:101C540013850700EFE04FE2B73700001385072E26
:101C6400EFE08FE1130704E813064000B7470000D4
:101C74009385078413050700EFE09FDA93070500B7
:101C840063960700EFF0CFAB6F004035034704E8DD
:101C9400930730066316F700EF00C03D6F00003471
:101CA400034704E89307C0066316F700EFF00F88B4
:101CB4006F00C032034704E8930740076316F70038
:101CC400EFF01FCE6F008031034704E89307D0067E
:101CD4006316F700EFF0CF9C6F004030034704E831
:101CE400930720066318F704930704E893872700F3
:101CF4009305000013850700EFE09FA6232EA4F8A8
:101D04008327C4F96354F002B7F7FA02138707086C
:101D14008327C4F93347F702B757000083A7C7E9FD
:101D24009387070123A0E7006F00402BEFF04FA13A
:101D34006F00C02A034704E893074006631AF702BA
:101D4400930704E893872700930500001385070091
:101D5400EFE0DFB02320A4FA832704FA6356F000EF
:101D6400032704FA23A8E180EFE01FD56F000027C2
:101D7400034704E893077007631CF70A930704E812
:101D840093872700130744F893050700138507007A
:101D9400EFE0DFAC2326A4FA832744F8130744F8C2
:101DA4009305070013850700EFE05FAB2324A4FA33
:101DB400832744F8130744F89305070013850700A5
:101DC400EFE0DFA92322A4FA032744FA93071000C3
:101DD400631CF7008327C4FA032784FA1377F70FE9
:101DE4002380E7006F00801F032744FA9307200035
:101DF400631EF7008327C4FA032784FA1317070125
:101E0400135707412390E7006F00401D032744FA4E
:101E140093074000631AF7008327C4FA032784FA60
:101E240023A0E7006F00801BEFF08F916F00001B71
:101E3400034704E8930720076312F71A232604FCD8
:101E4400930704E893872700130704F89305070012
:101E540013850700EFE09FA0232AA4FA832704F840
:101E6400130704F89305070013850700EFE01F9F8D
:101E74002328A4FA032704FB930710006310F70236
:101E8400832744FB83C707002326F4FCB7470000DD
:101E940013858784EFE04FBE6F008005032704FBA2
:101EA400930720006310F702832744FB83970700FE
:101EB4002326F4FCB747000013850785EFE0CFBB6A
:101EC4006F000003032704FB930740006310F7022D
:101ED400832744FB83A707002326F4FCB7470000AD
:101EE40013858785EFE04FB96F008000EFF04F85D1
:101EF400032704FB93071000630EF700032704FB7A
:101F0400930720006308F700032704FB93074000AE
:101F14006316F70C832744FB138B070093D7F74111
:101F2400938B0700930704E81307000393068000CC
:101F340093050B0013860B0013850700EFE0CFE732
:101F4400930704E813850700EFE00FB3B7470000D9
:101F540013850786EFE04FB28327C4FC138C070078
:101F640093D7F741938C0700930704E893050C007B
:101F740013860C0013850700EFE00FC4930704E8F1
:101F840013850700EFE04FAFB7470000138547867E
:101F9400EFE08FAE8327C4FC138D070093D7F7417E
:101FA400938D0700832704FB93961700930704E897
:101FB4001307000393050D0013860D001385070016
:101FC400EFE08FDF930704E813850700EFE0CFAA63
:101FD400B747000013858786EFE00FAA13000000BF
:101FE400EFE00F9693070500E38C07FE6FF09FB2B6
:101FF400F32500C8732500C067800000F32520C8BE
:10200400732520C067800000F32500CA732500C231
:1020140067800000F32510CA732510C26780000092
:10202400F32520CA732520C267800000F32530CA37
:10203400732530C267800000F32540CA732540C26F
:1020440067800000F32550CA732550C267800000E2
:10205400F32560CA732560C267800000F32570CA47
:10206400732570C267800000F32580CA732580C27F
:1020740067800000F32500CC732500C4678000004E
:10208400F32510CC732510C46780000013030500EA
:10209400138E05009308000063DC0500B337A0002D
:1020A400330EB040330EFE403303A0409308F0FFDC
:1020B40063DC0600B337C000B306D04093C8F8FF12
:1020C400B386F6403306C040130706001308030026
:1020D40093070E00639C0628B74500009385C586C8
:1020E4006376CE0EB70601006378D60C93360610DD
:1020F40093C61600939636003355D600B385A500D3
:1021040083C5050013050002B386D500B305D54089
:10211400630CD500B317BE00B356D3003317B60013
:10212400B3E7F6003318B3009355070133DEB70263
:102134001316070113560601B3F7B70213050E0071
:102144003303C6039396070193570801B3E7D700F7
:1021540063FE6700B387E7001305FEFF63E8E7004B
:1021640063F667001305EEFFB387E700B3876740A4
:1021740033D3B7021318080113580801B3F7B70291
:10218400B3066602939707013368F80093070300C8
:10219400637CD800330807019307F3FF6366E80004
:1021A4006374D8009307E3FF131505013365F50045
:1021B400930500006F00000E37050001930600012F
:1021C400E36CA6F2930680016FF01FF3631406001C
:1021D40073001000B7070100637AF60C93360610FB
:1021E40093C6160093963600B357D600B385F50010
:1021F40083C70500B387D70093060002B385F64072
:102204006390F60CB307CE409305100013530701F7
:10221400B3DE67021316070113560601935608012D
:10222400B3F7670213850E00330ED60393970701A5
:10223400B3E7F60063FEC701B387E7001385FEFF2B
:1022440063E8E70063F6C7011385EEFFB387E70091
:10225400B387C74133DE6702131808011358080116
:10226400B3F76702B306C603939707013368F80010
:1022740093070E00637CD800330807019307FEFF21
:102284006366E8006374D8009307EEFF1315050135
:102294003365F500638A0800B337A000B305B04086
:1022A400B385F5403305A04067800000B7070001FF
:1022B40093060001E36AF6F2930680016FF0DFF201
:1022C4003317B600B356FE0013550701331EBE0084
:1022D400B357F300B3E7C70133DEA60213160701B1
:1022E400135606013318B300B3F6A6023303C6032C
:1022F4009395060193D60701B3E6B60093050E0045
:1023040063FE6600B386E6009305FEFF63E8E6001D
:1023140063F666009305EEFFB386E600B386664077
:1023240033D3A6029397070193D70701B3F6A60206
:102334003306660293960601B3E7D70093060300BB
:1023440063FEC700B387E7009306F3FF63E8E70083
:1023540063F6C7009306E3FFB387E700939505018F
:10236400B387C740B3E5D5006FF05FEA6366DE1854
:10237400B707010063F4F60413B7061013471700F8
:1023840013173700B7470000B3D5E6009387C78615
:10239400B387B70083C70700B387E70013070002BA
:1023A400B305F7406316F70213051000E3E4C6EF24
:1023B4003335C300134515006FF0DFEDB707000197
:1023C40013070001E3E0F6FC130780016FF09FFBA5
:1023D4003357F600B396B600B366D7003357FE0002
:1023E400331EBE00B357F300B3E7C70113DE060183
:1023F400335FC70313980601135808013316B60058
:102404003377C703B30EE8031315070113D7070186
:102414003367A70013050F00637ED7013307D70086
:102424001305FFFF6368D7006376D7011305EFFF39
:102434003307D7003307D741B35EC7039397070128
:1024440093D707013377C7033308D803131707015A
:10245400B3E7E70013870E0063FE0701B387D700D5
:102464001387FEFF63E8D70063F607011387EEFFC7
:10247400B387D70013150501B70E01003365E500D6
:102484009386FEFF3377D500B3870741B376D60032
:102494001358050113560601330ED702B306D802AA
:1024A4003307C7023308C8023306D70013570E0197
:1024B4003307C7006374D7003308D8019356070164
:1024C400B386060163E6D702E394D7CEB7070100CB
:1024D4009387F7FF3377F70013170701337EFE0066
:1024E4003313B3003307C70193050000E374E3DA41
:1024F4001305F5FF6FF0DFCB930500001305000013
:102504006FF05FD9138E05001308000063DC05002B
:10251400B337A000B305B040338EF5403305A04077
:102524001308F0FF63DA0600B337C000B306D040E7
:10253400B386F6403306C0409308060093070500AF
:1025440093050E0063940624374700001307C786DB
:102554006376CE0EB70601006378D60C9336061068
:1025640093C61600939636003353D600330767009C
:10257400034707003307D700930600023383E6407E
:10258400638CE600B3156E003357E500B31866009C
:10259400B365B700B317650093D6080133D7D502E6
:1025A4001395080113550501B3F5D5023307A702A6
:1025B4001396050193D50701B3E5C50063FAE50059
:1025C400B385150163E6150163F4E500B3851501D0
:1025D400B385E54033D7D5029397070193D7070115
:1025E400B3F5D5023307A70293950501B3E7B70006
:1025F40063FAE700B387170163E6170163F4E700A2
:10260400B3871701B387E74033D56700930500000C
:10261400630A0800B337A000B305B040B385F540A2
:102624003305A040678000003703000193060001D2
:10263400E36C66F2930680016FF01FF363140600E7
:1026440073001000B70601006372D60A93360610B1
:1026540093C6160093963600B355D6003307B700D9
:10266400034707003307D700930600023383E6408D
:102674006398E6083307CE4093D508013356B70272
:10268400139508011355050193D607013377B70253
:102694003306A6021317070133E7E600637AC7007F
:1026A40033071701636617016374C7003307170103
:1026B4003307C740B356B7029397070193D707016F
:1026C4003377B702B386A60213170701B3E7E7000F
:1026D40063FAD700B387170163E6170163F4D700E1
:1026E400B3871701B387D7406FF01FF2B705000116
:1026F40093060001E362B6F6930680016FF0DFF5FE
:10270400B3186600B356EE00B3156E003357E500F8
:10271400B317650013D508013367B700B3D5A60214
:10272400139E0801135E0E01B3F6A602B385C5031A
:102734001396060193560701B3E6C60063FAB60082
:10274400B386160163E6160163F4B600B386160178
:10275400B386B64033D6A6021317070113570701F1
:10276400B3F6A6023306C603939606013367D70071
:10277400637AC70033071701636617016374C700E0
:10278400330717013307C7406FF01FEFE362DEE83A
:102794003707010063FCE60413B70610134717005C
:1027A40013173700B748000033D3E6009388C88670
:1027B400B388680003C308003303E300130700026F
:1027C400B3086740631E670263E4C601636CC50017
:1027D4003306C540B306DE40B335C500B385B64005
:1027E40093070600138507006FF09FE2B708000106
:1027F40013070001E3E816FB130780016FF09FFA4B
:10280400B3576600B3961601B3E6D70033576E008C
:1028140093DE0601B35FD703B3151E01139E0601B1
:10282400135E0E01B3576500B3E5B70093D70501F6
:1028340033161601331515013377D703330FFE030F
:1028440013170701B3E7E70013870F0063FEE701DF
:10285400B387D7001387FFFF63E8D70063F6E70168
:102864001387EFFFB387D700B387E74133DFD7037D
:102874009395050193D50501B3F7D703330EEE0302
:1028840093970701B3E5F50093070F0063FEC501B5
:10289400B385D5009307FFFF63E8D50063F6C50150
:1028A4009307EFFFB385D50013170701B70F010096
:1028B4003367F700B385C541138EFFFFB377C701B4
:1028C400935E060113570701337EC601338FC70396
:1028D400330EC703B387D7033307D703B38EC701B8
:1028E40093570F01B387D70163F4C7013307F70187
:1028F40013DE07013307EE00370E0100130EFEFF4F
:10290400B3F7C70193970701337FCF01B387E7017B
:1029140063E6E500639EE500637CF5003386C7400B
:10292400B3B7C700B387D7003307F7409307060050
:10293400B307F5403335F500B385E540B385A540CD
:1029440033936500B3D717013365F300B3D515018D
:102954006FF01FCC130305009388050013070600CE
:10296400130805009387050063920628B745000005
:102974009385C58663F6C80EB70601006378D60C46
:102984009336061093C61600939636003355D60038
:10299400B385A50083C5050013050002B386D500E1
:1029A400B305D540630CD500B397B800B356D30034
:1029B4003317B600B3E7F6003318B3009355070195
:1029C40033D3B7021316070113560601B3F7B70240
:1029D40013050300B3086602939607019357080191
:1029E400B3E7D70063FE1701B387E7001305F3FFCE
:1029F40063E8E70063F617011305E3FFB387E70015
:102A0400B3871741B3D8B702131808011358080144
:102A1400B3F7B702B3061603939707013368F800B8
:102A240093870800637CD800330807019387F8FF75
:102A34006366E8006374D8009387E8FF1315050103
:102A44003365F50093050000678000003705000139
:102A540093060001E36CA6F2930680016FF01FF366
:102A64006314060073001000B70701006370F60CCE
:102A74009336061093C6160093963600B357D600C5
:102A8400B385F50083C70500B387D700930600021A
:102A9400B385F6406396F60AB387C84093051000E1
:102AA4009358070133DE1703131607011356060163
:102AB40093560801B3F7170313050E003303C60337
:102AC40093970701B3E7F60063FE6700B387E70057
:102AD4001305FEFF63E8E70063F667001305EEFFE6
:102AE400B387E700B387674033D31703131808018C
:102AF40013580801B3F71703B30666029397070147
:102B04003368F80093070300637CD8003308070197
:102B14009307F3FF6366E8006374D8009307E3FF49
:102B2400131505013365F50067800000B707000140
:102B340093060001E364F6F4930680016FF01FF43A
:102B44003317B600B3D6F80013550701B357F30093
:102B54003318B30033D3A60213160701B398B80091
:102B640013560601B3E71701B3F6A602B3086602CB
:102B74009395060193D60701B3E6B60093050300C7
:102B840063FE1601B386E6009305F3FF63E8E600EF
:102B940063F616019305E3FFB386E600B386164198
:102BA400B3D8A6029397070193D70701B3F6A602F9
:102BB4003306160393960601B3E7D70093860800FD
:102BC40063FEC700B387E7009386F8FF63E8E70076
:102BD40063F6C7009386E8FFB387E7009395050182
:102BE400B387C740B3E5D5006FF09FEB63E6D51814
:102BF400B707010063F4F60413B706101347170070
:102C040013173700B7470000B3D5E6009387C7868C
:102C1400B387B70083C70700B387E7001307000231
:102C2400B305F7406316F70213051000E3EE16E14F
:102C34003335C3001345150067800000B707000152
:102C440013070001E3E0F6FC130780016FF09FFB1C
:102C54003357F600B396B600B366D70033D7F800FF
:102C6400B398B800B357F300B3E7170193D806013C
:102C7400B35E170313980601135808013316B60000
:102C840033771703330ED8031315070113D707013E
:102C94003367A70013850E00637EC7013307D7008F
:102CA4001385FEFF6368D7006376C7011385EEFFC3
:102CB4003307D7003307C741335E170393970701E0
:102CC40093D70701337717033308C8031317070192
:102CD400B3E7E70013070E0063FE0701B387D700CD
:102CE4001307FEFF63E8D70063F607011307EEFF3F
:102CF400B387D70013150501370E01003365E500CE
:102D04009306FEFF3377D500B3870741B376D60029
:102D14001358050113560601B308D702B306D802A7
:102D24003307C7023308C8023306D70013D7080194
:102D34003307C7006374D7003308C80193560701EB
:102D4400B386060163E6D702E39ED7CEB707010038
:102D54009387F7FF3377F70013170701B3F8F800E9
:102D64003313B3003307170193050000E37EE3CC6C
:102D74001305F5FF6FF01FCD930500001305000048
:102D840067800000130101FF9305000023248100E4
:102D94002326110013040500EF00002CB757000090
:102DA40003A587E98327C50363840700E780070039
:102DB40013050400EF00404D130101FF232481009B
:102DC4002320210137540000375900009307049C45
:102DD4001309099C3309F940232611002322910089
:102DE40013592940630009021304049C930400004E
:102DF400832704009384140013044400E78007002D
:102E0400E31899FE37540000375900009307049CD7
:102E14001309899C3309F9401359294063000902B5
:102E24001304049C93040000832704009384140077
:102E340013044400E7800700E31899FE8320C100CF
:102E440003248100832441000329010013010101AB
:102E540067800000B347B50093F73700B308C50097
:102E6400639607069307300063F2C706937735002D
:102E740013070500639A070C13F6C8FFB306E64070
:102E8400930700029302000263C2D70693860500EB
:102E9400930707006378C70203A8060093874700D7
:102EA4009386460023AE07FFE3E8C7FE9307F6FFC9
:102EB400B387E74093F7C7FF938747003307F700CB
:102EC400B385F500636817016780000013070500E8
:102ED400E37C15FF83C705001307170093851500CE
:102EE400A30FF7FEE36817FF6780000083A6450081
:102EF40083A7C50183AF050003AF850083AEC5007A
:102F040003AE050103A3450103A885012322D700CD
:102F140083A605022320F7012324E7012326D701F2
:102F24002328C701232A6700232C0701232EF70037
:102F340013074702B307E640232ED7FE93854502C5
:102F4400E3C6F2FA6FF09FF483C605001307170077
:102F540093773700A30FD7FE93851500E38E07F010
:102F640083C605001307170093773700A30FD7FE16
:102F740093851500E39A07FC6FF01FF01303F0002C
:102F840013070500637EC3029377F7006390070A73
:102F940063920508937606FF1376F600B386E6007F
:102FA4002320B7002322B7002324B7002326B70029
:102FB40013070701E366D7FE631406006780000069
:102FC400B306C3409396260097020000B3865600CA
:102FD4006780C6002307B700A306B7002306B7001F
:102FE400A305B7002305B700A304B7002304B70063
:102FF400A303B7002303B700A302B7002302B7005B
:10300400A301B7002301B700A300B7002300B70052
:103014006780000093F5F50F93968500B3E5D5001E
:1030240093960501B3E5D5006FF0DFF6939627007C
:1030340097020000B386560093820000E78006FAE8
:1030440093800200938707FF3307F7403306F600A7
:10305400E378C3F66FF0DFF3130101FDB757000007
:10306400232C410103AA87E92320210323261102EB
:1030740003298A142324810223229102232E31015D
:10308400232A51012328610123267101232481016C
:1030940063000904130B0500938B0500930A1000C9
:1030A4009309F0FF832449001384F4FF634204026C
:1030B40093942400B304990063840B0483A74410FD
:1030C400638077051304F4FF9384C4FFE31634FF8D
:1030D4008320C102032481028324410203290102C3
:1030E4008329C101032A8101832A4101032B0101A0
:1030F400832BC100032C81001301010367800000AE
:103104008327490083A644009387F7FF638E8704CF
:1031140023A20400E38806FA8327891833978A00D8
:10312400032C4900B377F70063920702E780060097
:103134000327490083278A1463148701E38427F94A
:10314400E38807F8138907006FF0DFF58327C918B0
:1031540083A544083377F700631C070013050B00AD
:10316400E78006006FF0DFFC232289006FF09FFAEE
:1031740013850500E78006006FF09FFB130101FF34
:1031840023248100B7570000375400009387879C9D
:103194001304C49C3304F440232291002326110019
:1031A40093542440638004021304C4FF3304F400E2
:1031B400832704009384F4FF1304C4FFE78007000B
:1031C400E39804FE8320C10003248100832441008A
:1031D40013010101678000009305050093060000B8
:1031E40013060000130500006F004000B7570000ED
:1031F40003A787E983278714638C070403A7470081
:103204001308F001634EE80613182700630605024D
:10321400338307012324C30883A8871813061000E7
:103224003316E600B3E8C80023A417192324D310E7
:10323400930620006304D5021307170023A2E700B6
:10324400B387070123A4B7001305000067800000BB
:103254009307C7142324F7146FF05FFA83A6C718E3
:103264001307170023A2E700B3E6C60023A6D71866
:10327400B387070123A4B70013050000678000008B
:103284001305F0FF678000009308D0057300000069
:10329400634405006F000000130101FF2324810033
:1032A400130405002326110033048040EF00C000FE
:1032B400232085006F000000B757000003A507EA2C
:0432C400678000001F
:1032C8002020000020202000200000002D200000E9
:1032D80020207C007C0A00000A0D0000746F7461D5
:1032E8006C2020203A2000006164642F73756220EE
:1032F8003A2000006D756C20202020203A20000024
:1033080064697620202020203A2000006C64202068
:10331800202020203A200000737420202020202024
:103328003A2000006A6D7020202020203A200000FA
:103338006A202020202020203A200000616C756936
:10334800202020203A200000616C752020202020B9
:103358003A2000000A0000002C20000020206420F1
:103368003C616464723E202D2D20646973706C6129
:1033780079206D656D6F7279200A00002020622027
:103388003C62617564726174653E202D2D20736501
:1033980074206261756472617465200A000000001F
:1033A800202072203C616464723E203C776964741A
:1033B800683E202D2D2072656164206D656D6F72E9
:1033C8007920776F72640A00202077203C6164645A
:1033D800723E203C76616C75653E203C776964746A
:1033E800683E202D2D207772697465206D656D6F9C
:1033F800727920776F72640A000000002020202074
:1034080077696474683D312C2032206F7220340A49
:1034180000000000C596FF30C914BDCA0D340FA1C5
:1034280091588CF355260A7C598161269D8D7275B9
:1034380021AF68B9E5893E7BE90182C12D3B58126D
:10344800B199C15675C11D037996EF28BD3CE15568
:103458004118086478EA7F156C80CC17A032F0C256
:1034680014D5B776C87B32B1BC7A65F7F06540B63B
:103478006411D1AF1891B7C80C39DA49409D59EA9F
:10348800B491C435682A8C225CBBB7EC90D8D88834
:1034980004563F433D817F46359589E2AD66FF631B
:1034A800A52D446A1DA23C2D15FCC61D8DF3B22B1B
:1034B80085C03969FD1AF643F53A5C0B6DD8B1FC45
:1034C800652B868CDDEBA925D551A7154D15BADEE0
:1034D800456E47A74DAC7F1B5D94F0B26D011FDEB2
:1034E8007D83D47C8DAAD7CA9D06FC2EAD2732BF1A
:1034F800BD9D9709CDF886B2DDC8A777ED9DFE275B
:10350800FD07FD200D9791E01DDB373C2D6408CDAC
:103518003DC2C82058BE598CCC4455B12012DB4757
:1035280054904EF268C9F2095C675C8E30B48352DD
:10353800E49976E978A2AA74ECF7EE154064FE6681
:103548007451B1F888C9CF7B7C7683D550A26901C4
:103558000437454302000000FEFFFFFF01000000A2
:1035680000000000FFFFFFFFFCFFFFFFFFFFFFFF62
:1035780000000000FEFFFFFF000000000000000048
:103588000100000000000000FEFFFFFF0000000037
:1035980001000000D5C1FF05A11556FA6D011FDE17
:1035A80091588CF31DA23C2D496CF7038DF3B22B77
:1035B80021AF68B915ACAD0CE90182C12D3B581299
:1035C800FD07FD2075C11D03310D5F02BD3CE155AE
:1035D8003DC2C8205E7B53F982891E0CE642A59C39
:1035E8008A2BD16F6E07C54892DAC0FEF6E845AA65
:1035F8009AB67A2D7E07CF9BA2DFDF4406839B66AF
:10360800AA75A5CA8E7BFAD2B298D4B91611CF087A
:10361800BA684A8BA9240BB33D8AD79411EEADD86A
:1036280025C4E1C279C01A920DD7A8CDE13B184E46
:10363800F562053449003141DD07D447B1AD33A403
:10364800C56575F419E4B282AD1C4E158143851920
:1036580095CC465C07A05EACBF13F6A0F730537557
:10366800AFEFB232E7C7DFDA9FB169CCD7245EF893
:103678008F198061C70700DD7FE7B38CB730CF0AA9
:103688006FDB1ABFA75FAD555FB522CF97545422A1
:103698004F3591E7B556484645FF4677D554F7C3A9
:1036A8006567EFACF546AAB68503183115AD2D5CF4
:1036B800A55375F935079E5AC5D70BFD55D567C271
:1036C800E50F30D675974750057C86A495CD49EF10
:1036D800259C032F0EA97F5CFAD794FD4645995087
:1036E800F2F2DA26FE02DFA76AB72B413672328F72
:1036F80062B55959EE2227DBDA7C89B426A542FB4C
:10370800D29D7107DE883CB54AA89AF5165E3EA898
:10371800422C9FD8010000000000000000000000BB
:10372800000000000000000001000000020000008E
:10373800000000000200000000000000000000007F
:103748000000000001000000080000000000000068
:1037580001000000B556484682891E0CE642A59C29
:103768008A2BD16F6E07C548850318313471150E41
:103778009AB67A2DEC066D19A2DFDF4406839B66A4
:10378800AA75A5CA759747504AB3630F1611CF0893
:10379800259C032F0A20206164643A200000000061
:1037A8000A20207375623A20000000000A20206D6C
:1037B800756C3A20000000000A20206469763A20DF
:1037C800000000000A20206D6F643A20000000000D
:1037D8000A2020616464753A200000000A202073E2
:1037E8007562753A200000000A20206D756C753AE4
:1037F800200000000A2020646976753A2000000045
:103808000A20206D6F64753A200000000A0D746567
:1038180073746973613A00007061737365640000C2
:103828006661696C65642061742000003A000000DC
:103838003E3E00000A0D3A0068656C7020000000EA
:10384800636861722040000073686F7274204000E2
:10385800696E742040000000203D20002800000010
:10386800290A0D00000102020303030304040404EF
:1038780004040404050505050505050505050505F4
:1038880005050505060606060606060606060606D4
:1038980006060606060606060606060606060606C0
:1038A80006060606070707070707070707070707A4
:1038B8000707070707070707070707070707070790
:1038C8000707070707070707070707070707070780
:1038D8000707070707070707070707070707070770
:1038E8000707070708080808080808080808080854
:1038F8000808080808080808080808080808080840
:10390800080808080808080808080808080808082F
:10391800080808080808080808080808080808081F
:10392800080808080808080808080808080808080F
:1039380008080808080808080808080808080808FF
:1039480008080808080808080808080808080808EF
:1039580008080808080808080808080808080808DF
:04396800080808083B
:10496C001000000000000000037A5200017C0101DD
:10497C001B0D0200100000001800000008D7FFFFFC
:10498C007804000000000000100000002C00000063
:10499C006CDBFFFF50040000000000001000000062
:1049AC0040000000A8DFFFFF300400000000000002
:0449BC0000000000F7
:0849C00074000000200100005A
:0449C800DC0000000F
:1049D000002000000C20000018200000242000000F
:1049E000302000003C20000048200000542000003F
:1049F000602000006C200000E4320000F032000073
:104A0000FC320000083300001433000020330000A3
:104A10002C330000383300004433000050330000D2
:104A2000A40F0000A4100000A4110000A4120000B4
:104A3000A4130000A4140000A4150000A416000094
:104A4000A4170000A41800009C370000A83700003D
:104A5000B4370000C0370000CC370000D837000062
:104A6000E4370000F0370000FC3700000838000091
:104A7000000000005C4D0000C44D00002C4E000002
:104A80000000000000000000000000000000000026
:104A90000000000000000000000000000000000016
:104AA0000000000000000000000000000000000006
:104AB00000000000000000000000000000000000F6
:104AC00000000000000000000000000000000000E6
:104AD00000000000000000000000000000000000D6
:104AE00000000000000000000000000000000000C6
:104AF00000000000000000000000000000000000B6
:104B000000000000000000000000000000000000A5
:104B10000000000000000000010000000000000094
:104B20000E33CDAB34126DE6ECDE05000B00000059
:104B30000000000000000000000000000000000075
:104B40000000000000000000000000000000000065
:104B50000000000000000000000000000000000055
:104B60000000000000000000000000000000000045
:104B70000000000000000000000000000000000035
:104B80000000000000000000000000000000000025
:104B90000000000000000000000000000000000015
:104BA0000000000000000000000000000000000005
:104BB00000000000000000000000000000000000F5
:104BC00000000000000000000000000000000000E5
:104BD00000000000000000000000000000000000D5
:104BE00000000000000000000000000000000000C5
:104BF00000000000000000000000000000000000B5
:104C000000000000000000000000000000000000A4
:104C10000000000000000000000000000000000094
:104C20000000000000000000000000000000000084
:104C30000000000000000000000000000000000074
:104C40000000000000000000000000000000000064
:104C50000000000000000000000000000000000054
:104C60000000000000000000000000000000000044
:104C70000000000000000000000000000000000034
:104C80000000000000000000000000000000000024
:104C90000000000000000000000000000000000014
:104CA0000000000000000000000000000000000004
:104CB00000000000000000000000000000000000F4
:104CC00000000000000000000000000000000000E4
:104CD00000000000000000000000000000000000D4
:104CE00000000000000000000000000000000000C4
:104CF00000000000000000000000000000000000B4
:104D000000000000000000000000000000000000A3
:104D10000000000000000000000000000000000093
:104D20000000000000000000000000000000000083
:104D30000000000000000000000000000000000073
:104D40000000000000000000000000000000000063
:104D50000000000000000000000000000000000053
:104D60000000000000000000000000000000000043
:104D70000000000000000000000000000000000033
:104D80000000000000000000000000000000000023
:104D90000000000000000000000000000000000013
:104DA0000000000000000000000000000000000003
:104DB00000000000000000000000000000000000F3
:104DC00000000000000000000000000000000000E3
:104DD00000000000000000000000000000000000D3
:104DE00000000000000000000000000000000000C3
:104DF00000000000000000000000000000000000B3
:104E000000000000000000000000000000000000A2
:104E10000000000000000000000000000000000092
:104E20000000000000000000000000000000000082
:104E30000000000000000000000000000000000072
:104E40000000000000000000000000000000000062
:104E50000000000000000000000000000000000052
:104E60000000000000000000000000000000000042
:104E70000000000000000000000000000000000032
:104E80000000000000000000000000000000000022
:084E900000000000000000001A
:0C4E9800704A0000000100F0704A0000A9
:040000030000008C6D
:100074009307000063880700371500001305C515B2
:100084006F10401367800000130101FF23248100D7
:1000940083C7C1C32326110063920702930700009C
:1000A400638A0700372500001305C539970000004F
:1000B400E700000093071000238EF1C28320C100E3
:1000C40003248100130101016780000093070000ED
:1000D400638C070037250000938501C41305C539D7
:1000E400170300006700000067800000130101FF90
:1000F400232681001304010183A7C1C293878700CB
:1001040003A7070023AAE1C283A741C393F71700FB
:1001140093B7170093F7F70F138507000324C10063
:100124001301010167800000130101FF23268100F0
:100134001304010183A7C1C29387870003A70700A3
:1001440023AAE1C203A741C3B7070100B377F700AD
:1001540093B7170093F7F70F138507000324C10023
:100164001301010167800000130101FE232E8100A9
:10017400130401022326A4FE83A7C1C29387870028
:1001840003A7070023AAE1C283A741C393F717007B
:10019400639E070083A7C1C2938747000327C4FE59
:1001A40023A0E700930700006F0080009307F0FF8F
:1001B400138507000324C1011301010267800000B5
:1001C400130101FF232681001304010183A7C1C287
:1001D4009387870003A7070023AAE1C203A741C3AB
:1001E400B7070100B377F7006398070083A7C1C27C
:1001F40083A707006F0080009307F0FF13850700B3
:100204000324C1001301010167800000130101FEF2
:10021400232E1100232C8100130401022326A4FEA3
:100224006F000003130000008327C4FE83C7070088
:1002340013850700EFF05FF3130705009307F0FF42
:10024400E304F7FE8327C4FE938717002326F4FEF6
:100254008327C4FE83C70700E39607FC93070000C7
:10026400138507008320C1010324810113010102C6
:1002740067800000130101FD23261102232481025B
:1002840013040103232EA4FC232CB4FC232604FE14
:10029400032784FD9307100063C6E700930700005B
:1002A4006F008009EFF0DFF12324A4FE032784FE0E
:1002B4009307F0FFE308F7FE8327C4FE13871700B4
:1002C4002326E4FE138707008327C4FDB387E700D2
:1002D400032784FE1377F70F2380E7001300000041
:1002E400032584FEEFF05FE8130705009307F0FF92
:1002F400E308F7FE832784FD9387F7FF0327C4FEF3
:100304006350F702032784FE9307A000630CF700F1
:10031400032784FE9307D0006306F7006FF09FF86D
:10032400130000008327C4FE0327C4FDB307F700AE
:10033400238007008327C4FE138507008320C1029E
:10034400032481021301010367800000130101FCEF
:10035400232E8102130401042326A4FC2324B4FCC9
:10036400232404FE232204FE832784FC63DA07008B
:10037400832784FCB307F0402324F4FC232204FEE7
:10038400832784FC63960706832784FE138717005C
:100394002324E4FE138707008327C4FCB387E70004
:1003A400130700032380E7006F008007032784FC02
:1003B4009307A000B367F70213F7F70F832784FEB0
:1003C400938617002324D4FE938607008327C4FC56
:1003D400B387D700130707031377F70F2380E700CA
:1003E400032784FC9307A000B347F7022324F4FCFB
:1003F400832784FCE34CF0FA832744FE63820702DC
:10040400832784FE138717002324E4FE1387070041
:100414008327C4FCB387E7001307D0022380E700D7
:10042400232604FE6F00C006832784FE1387F7FF8C
:100434008327C4FEB307F7402320F4FE8327C4FEBA
:100444000327C4FCB307F70083C70700A30FF4FC1A
:10045400832704FE0327C4FC3307F7008327C4FE65
:100464008326C4FCB387F600034707002380E70014
:10047400832704FE0327C4FCB307F7000347F4FDF6
:100484002380E7008327C4FE938717002326F4FE06
:10049400832784FE13D7F701B307F70093D71740D8
:1004A400138707008327C4FEE3C0E7F8832784FE8D
:1004B4000327C4FCB307F70023800700832784FEC7
:1004C400138507000324C10313010104678000009E
:1004D400130101FB23268104130401052326A4FC34
:1004E4002320B4FC2322C4FC2324D4FC9307070058
:1004F400A30FF4FA232404FE6F000009832704FCED
:1005040093F7F700232EF4FC0327C4FD9307900010
:1005140063C4E7028327C4FD13F7F70F832784FE20
:100524008326C4FCB387F600130707031377F70F7A
:100534002380E7006F0040028327C4FD13F7F70F01
:10054400832784FE8326C4FCB387F600130777054C
:100554001377F70F2380E700832744FC9397C701A1
:10056400032704FC1358470033E80701832744FC9E
:1005740093D84700232004FD232214FD832784FEFF
:10058400938717002324F4FE832704FC032744FCE9
:10059400B3E7E700E39407F66F004002832784FE85
:1005A400138717002324E4FE138707008327C4FC62
:1005B400B387E7000347F4FB2380E700032784FEA7
:1005C400832784FCE34CF7FC232604FE6F00C0065B
:1005D400832784FE1387F7FF8327C4FEB307F740FE
:1005E4002322F4FE8327C4FE0327C4FCB307F700C9
:1005F40083C70700A301F4FE832744FE0327C4FC3A
:100604003307F7008327C4FE8326C4FCB387F600B0
:10061400034707002380E700832744FE0327C4FC25
:10062400B307F700034734FE2380E7008327C4FEA3
:10063400938717002326F4FE832784FE13D7F7013C
:10064400B307F70093D71740138707008327C4FE27
:10065400E3C0E7F8832784FE0327C4FCB307F7004D
:1006640023800700832784FE138507000324C10425
:100674001301010567800000130101FD2326810297
:1006840013040103232EA4FC232CB4FC232604FE10
:10069400232404FE930710002322F4FE6F00C008F5
:1006A4008327C4FD83C707002320F4FE032704FE29
:1006B4009307F00263D2E704032704FE9307900331
:1006C40063CCE702032784FE930707009397270070
:1006D400B387E7009397170013870700832704FE67
:1006E400B307F700938707FD2324F4FE9307100054
:1006F4002326F4FE6F0080028327C4FE639E070254
:10070400032704FE9307D002631AF7009307F0FF50
:100714002322F4FE930710002326F4FE8327C4FD4E
:1007240093871700232EF4FC8327C4FD83C7070097
:10073400E39807F66F00800013000000032784FE8F
:10074400832744FEB307F7022324F4FE832784FDA2
:1007540063880700832784FD0327C4FD23A0E700E3
:10076400832784FE138507000324C10213010103B8
:1007740067800000130101FD232681021304010395
:10078400232EA4FC232CB4FC232604FE232404FEE1
:100794006F00000E8327C4FD83C707002322F4FEE5
:1007A400032744FE9307F00263DAE702032744FEBB
:1007B4009307900363C4E702930710002326F4FE13
:1007C400832784FE13974700832744FEB307F7006B
:1007D400938707FD2324F4FE6F00C008032744FE1B
:1007E4009307000663DAE702032744FE93076006D3
:1007F40063C4E702930710002326F4FE832784FED4
:1008040013974700832744FEB307F700938797FAAB
:100814002324F4FE6F000005032744FE930700041D
:1008240063DAE702032744FE9307600463C4E70224
:10083400930710002326F4FE832784FE13974700B2
:10084400832744FEB307F700938797FC2324F4FE21
:100854006F0040018327C4FE6394070293071000CE
:100864002326F4FE8327C4FD93871700232EF4FC6C
:100874008327C4FD83C70700E39E07F06F00800051
:1008840013000000832784FD63880700832784FD09
:100894000327C4FD23A0E700832784FE13850700F4
:1008A4000324C1021301010367800000130101EC5A
:1008B400232E1112232C8112232A211323283113CE
:1008C40023264113232451131304011483A781C342
:1008D40093F707FF232AF4FC232C04FC6F00401B2E
:1008E400832744FD13890700930900009307C4EC90
:1008F400130700039306800093050900138609007B
:1009040013850700EFF0DFBC9307C4EC13850700E1
:10091400EFF0DF8FB71700001385C729EFF01F8FA3
:10092400232E04FC6F00000A832744FD2326F4FCD5
:100934000327C4FD832744FD3307F70083A781C33E
:10094400637AF700B71700001385072AEFF01F8CAE
:100954006F0000058327C4FD0327C4FCB307F70019
:1009640083C70700138A0700930A0000930744EC27
:10097400130700039306200093050A0013860A0058
:1009840013850700EFF0DFB4930744EC13850700E9
:10099400EFF0DF87B71700001385472AEFF01F87B2
:1009A4000327C4FD930770006318F700B71700000E
:1009B4001385872AEFF09F858327C4FD938717004B
:1009C400232EF4FC0327C4FD9307F000E3DEE7F4D1
:1009D400B71700001385C72AEFF05F83232E04FCAA
:1009E4006F004007832744FD2328F4FC8327C4FDBC
:1009F400032704FDB307F70003C707009307F001BB
:100A040063FAE7028327C4FD032704FDB307F70055
:100A140003C707009307E00763EEE7008327C4FDDD
:100A2400032704FDB307F70083C707002300F4EC92
:100A34006F00C0009307E0022300F4EC834704EC4A
:100A440013850700EFF04FF28327C4FD9387170047
:100A5400232EF4FC0327C4FD9307F000E3D4E7F846
:100A6400B71700001385072BEFF04FFA832744FDD7
:100A740093870701232AF4FC832744FD93F7F70F98
:100A840063800702832784FD93871700232CF4FCDB
:100A9400032784FD9307F000E3D4E7E46F008000AC
:100AA40013000000B71700001385472BEFF00FF673
:100AB400032744FD23ACE1C2130000008320C113CB
:100AC400032481130329411383290113032AC11227
:100AD400832A81121301011467800000130101FFAE
:100AE400232611002324810013040101B7170000F9
:100AF4001385872BEFF08FF1B71700001385872D2F
:100B0400EFF0CFF0B71700001385C72FEFF00FF009
:100B1400B717000013854732EFF04FEFB717000007
:100B240013858735EFF08FEE130000008320C1009A
:100B3400032481001301010167800000130101E80F
:100B4400232E1116232C8116232A2117232831172B
:100B54002326411723245117130401182326A4E83C
:100B64002324B4E8B70700F0232CF4FCB70700F003
:100B740093870701232AF4FC83A7C1C29387070143
:100B84001307201B23A0E700232804FC232604FCCE
:100B9400EFF0CFD59307050063880700B71700006F
:100BA40013850737EFF08FE6EFF00FD893070500B2
:100BB400E38C07FE930744E99305F00F13850700C0
:100BC400EFF04FEB13000000B71700001385473711
:100BD400EFF0CFE3930744E913850700EFF00FE349
:100BE400B71700001385472BEFF04FE2034744E9A2
:100BF400930780066316F700EFF05FEE6F00C02ED8
:100C0400034744E9930720066316F704930744E96E
:100C1400938727009305000013850700EFF0DFA5F5
:100C24002328A4FA832704FB6352F002B7F7FA02DD
:100C340013870708832704FB3347F70283A7C1C23E
:100C44009387070123A0E7006F00002AEFF01FE954
:100C54006F008029034744E993074006631AF702AB
:100C6400930744E993872700930500001385070041
:100C7400EFF05FB0232AA4FA832744FB6356F00005
:100C8400032744FB23ACE1C2EFF05FC26F00C02531
:100C9400034744E993077007631CF70A930744E981
:100CA40093872700130784F993050700138507002A
:100CB400EFF05FAC2320A4FC832784F9130784F9A5
:100CC4009305070013850700EFF0DFAA232EA4FA8B
:100CD400832784F9130784F9930507001385070014
:100CE400EFF05FA9232CA4FA032784FB93071000D9
:100CF400631CF700832704FC0327C4FB1377F70F57
:100D04002380E7006F00401E032784FB9307200025
:100D1400631EF700832704FC0327C4FB1317070192
:100D2400135707412390E7006F00001C032784FB3F
:100D340093074000631AF700832704FC0327C4FBCE
:100D440023A0E7006F00401AEFF05FD96F00C019CD
:100D5400034744E9930720076318F718232E04FC7C
:100D6400930744E993872700130744F99305070081
:100D740013850700EFF01FA02324A4FC832744F964
:100D8400130744F99305070013850700EFF09F9EAE
:100D94002322A4FC032744FC930710006310F702EA
:100DA400832784FC83C70700232EF4FCB7170000B5
:100DB40013858737EFF08FC56F008005032744FC48
:100DC400930720006310F702832784FC83970700AE
:100DD400232EF4FCB717000013850738EFF00FC378
:100DE4006F000003032744FC930740006310F702DD
:100DF400832784FC83A70700232EF4FCB717000085
:100E040013858738EFF08FC06F008000EFF01FCD9F
:100E1400032744FC93071000630EF700032744FCE8
:100E2400930720006308F700032744FC930740005E
:100E3400631CF70A832784FC1389070093D7F741BF
:100E440093890700930744E913070003930680007E
:100E5400930509001386090013850700EFF04FE797
:100E6400930744E913850700EFF04FBAB717000062
:100E740013850739EFF08FB9930744E98325C4FD3F
:100E840013850700EFF08FCC930744E9138507001F
:100E9400EFF0CFB7B717000013854739EFF00FB75E
:100EA4008327C4FD138A070093D7F741938A070069
:100EB400832744FC93961700930744E91307000320
:100EC40093050A0013860A0013850700EFF04FE02C
:100ED400930744E913850700EFF04FB3B7170000F9
:100EE40013858739EFF08FB213000000EFF00FA0E5
:100EF40093070500E38C07FE6FF09FC9972100005C
:100F0400938101CB138541C3138681C53306A64063
:100F140093050000EF0080040325010093054100C0
:100F240013060000EFF09FC16F004000130101FFA2
:100F340093050000232481002326110013040500D7
:100F4400EF00800F03A581C28327C50363840700D4
:100F5400E780070013050400EF0080301303F0005E
:100F640013070500637EC3029377F7006390070AB3
:100F740063920508937606FF1376F600B386E600BF
:100F84002320B7002322B7002324B7002326B70069
:100F940013070701E366D7FE6314060067800000A9
:100FA400B306C3409396260097020000B38656000A
:100FB4006780C6002307B700A306B7002306B7005F
:100FC400A305B7002305B700A304B7002304B700A3
:100FD400A303B7002303B700A302B7002302B7009B
:100FE400A301B7002301B700A300B7002300B70093
:100FF4006780000093F5F50F93968500B3E5D5005F
:1010040093960501B3E5D5006FF0DFF693962700BC
:1010140097020000B386560093820000E78006FA28
:1010240093800200938707FF3307F7403306F600E7
:10103400E378C3F66FF0DFF3130101FD232C4101C4
:1010440003AA81C2232021032326110203298A141F
:101054002324810223229102232E3101232A5101C8
:1010640023286101232671012324810163000904DB
:10107400130B0500938B0500930A10009309F0FFEE
:10108400832449001384F4FF6342040293942400EC
:10109400B304990063840B0483A744106380770529
:1010A4001304F4FF9384C4FFE31634FF8320C102C6
:1010B4000324810283244102032901028329C101FB
:1010C400032A8101832A4101032B0101832BC100DF
:1010D400032C81001301010367800000832749006A
:1010E40083A644009387F7FF638E870423A204003A
:1010F400E38806FA8327891833978A00032C49006A
:10110400B377F70063920702E780060003274900DC
:1011140083278A1463148701E38427F9E38807F893
:10112400138907006FF0DFF58327C91883A54408E6
:101134003377F700631C070013050B00E7800600F4
:101144006FF0DFFC232289006FF09FFA13850500FE
:10115400E78006006FF09FFB130101FF2324810049
:10116400B7270000372400009387873A1304C43A52
:101174003304F44023229100232611009354244085
:10118400638004021304C4FF3304F40083270400BF
:101194009384F4FF1304C4FFE7800700E39804FE7C
:1011A4008320C10003248100832441001301010131
:1011B40067800000930505009306000013060000F5
:1011C400130500006F00400003A781C28327871422
:1011D400638C070403A747001308F001634EE80675
:1011E4001318270063060502338307012324C30869
:1011F40083A88718130610003316E600B3E8C80066
:1012040023A417192324D310930620006304D502C2
:101214001307170023A2E700B387070123A4B7002D
:1012240013050000678000009307C7142324F714F4
:101234006FF05FFA83A6C7181307170023A2E7000D
:10124400B3E6C60023A6D718B387070123A4B700C3
:1012540013050000678000001305F0FF678000009D
:101264009308D00573000000634405006F0000007C
:10127400130101FF23248100130405002326110018
:1012840033048040EF00C000232085006F0000007D
:0812940003A501C367800000FF
:10129C002020000020202000200000002D00000055
:1012AC0020207C007C0A00000A0D00002020642015
:1012BC003C616464723E202D2D20646973706C61F6
:1012CC0079206D656D6F7279200A000020206220F4
:1012DC003C62617564726174653E202D2D207365CE
:1012EC0074206261756472617465200A00000000EC
:1012FC00202072203C616464723E203C77696474E7
:10130C00683E202D2D2072656164206D656D6F72B5
:10131C007920776F72640A00202077203C61646426
:10132C00723E203C76616C75653E203C7769647436
:10133C00683E202D2D207772697465206D656D6F68
:10134C00727920776F72640A000000002020202040
:10135C0077696474683D312C2032206F7220340A16
:10136C00000000003E3E00000A0D3A006368617206
:10137C002040000073686F7274204000696E742006
:10138C0040000000203D200028000000290A0D002C
:04239C00000000003D
:0823A00074000000D0000000F1
:0423A8008C000000A5
:1023B000000000009C260000042700006C2700009D
:1023C000000000000000000000000000000000000D
:1023D00000000000000000000000000000000000FD
:1023E00000000000000000000000000000000000ED
:1023F00000000000000000000000000000000000DD
:1024000000000000000000000000000000000000CC
:1024100000000000000000000000000000000000BC
:1024200000000000000000000000000000000000AC
:10243000000000000000000000000000000000009C
:10244000000000000000000000000000000000008C
:10245000000000000000000001000000000000007B
:102460000E33CDAB34126DE6ECDE05000B00000040
:10247000000000000000000000000000000000005C
:10248000000000000000000000000000000000004C
:10249000000000000000000000000000000000003C
:1024A000000000000000000000000000000000002C
:1024B000000000000000000000000000000000001C
:1024C000000000000000000000000000000000000C
:1024D00000000000000000000000000000000000FC
:1024E00000000000000000000000000000000000EC
:1024F00000000000000000000000000000000000DC
:1025000000000000000000000000000000000000CB
:1025100000000000000000000000000000000000BB
:1025200000000000000000000000000000000000AB
:10253000000000000000000000000000000000009B
:10254000000000000000000000000000000000008B
:10255000000000000000000000000000000000007B
:10256000000000000000000000000000000000006B
:10257000000000000000000000000000000000005B
:10258000000000000000000000000000000000004B
:10259000000000000000000000000000000000003B
:1025A000000000000000000000000000000000002B
:1025B000000000000000000000000000000000001B
:1025C000000000000000000000000000000000000B
:1025D00000000000000000000000000000000000FB
:1025E00000000000000000000000000000000000EB
:1025F00000000000000000000000000000000000DB
:1026000000000000000000000000000000000000CA
:1026100000000000000000000000000000000000BA
:1026200000000000000000000000000000000000AA
:10263000000000000000000000000000000000009A
:10264000000000000000000000000000000000008A
:10265000000000000000000000000000000000007A
:10266000000000000000000000000000000000006A
:10267000000000000000000000000000000000005A
:10268000000000000000000000000000000000004A
:10269000000000000000000000000000000000003A
:1026A000000000000000000000000000000000002A
:1026B000000000000000000000000000000000001A
:1026C000000000000000000000000000000000000A
:1026D00000000000000000000000000000000000FA
:1026E00000000000000000000000000000000000EA
:1026F00000000000000000000000000000000000DA
:1027000000000000000000000000000000000000C9
:1027100000000000000000000000000000000000B9
:1027200000000000000000000000000000000000A9
:102730000000000000000000000000000000000099
:102740000000000000000000000000000000000089
:102750000000000000000000000000000000000079
:102760000000000000000000000000000000000069
:102770000000000000000000000000000000000059
:102780000000000000000000000000000000000049
:102790000000000000000000000000000000000039
:1027A0000000000000000000000000000000000029
:1027B0000000000000000000000000000000000019
:1027C0000000000000000000000000000000000009
:0827D000000000000000000001
:0C27D800B0230000000100F0B02300005E
:0400000300000F00EA
:00000001FF
......@@ -8,9 +8,9 @@ ELF Header:
Type: EXEC (Executable file)
Machine: RISC-V
Version: 0x1
Entry point address: 0x8c
Entry point address: 0xf00
Start of program headers: 52 (bytes into file)
Start of section headers: 41604 (bytes into file)
Start of section headers: 22744 (bytes into file)
Flags: 0x0
Size of this header: 52 (bytes)
Size of program headers: 32 (bytes)
......@@ -22,28 +22,28 @@ ELF Header:
Section Headers:
[Nr] Name Type Addr Off Size ES Flg Lk Inf Al
[ 0] NULL 00000000 000000 000000 00 0 0 0
[ 1] .text PROGBITS 00000074 000074 003254 00 AX 0 0 4
[ 2] .rodata PROGBITS 000032c8 0032c8 0006a4 00 A 0 0 4
[ 3] .eh_frame PROGBITS 0000496c 00396c 000054 00 WA 0 0 4
[ 4] .init_array INIT_ARRAY 000049c0 0039c0 000008 04 WA 0 0 4
[ 5] .fini_array FINI_ARRAY 000049c8 0039c8 000004 04 WA 0 0 4
[ 6] .data PROGBITS 000049d0 0039d0 0004c8 00 WA 0 0 8
[ 7] .sdata PROGBITS 00004e98 003e98 00000c 00 WA 0 0 4
[ 8] .sbss NOBITS 00004ea4 003ea4 000008 00 WA 0 0 4
[ 9] .bss NOBITS 00004eac 003ea4 00201c 00 WA 0 0 4
[10] .comment PROGBITS 00000000 003ea4 000012 01 MS 0 0 1
[11] .riscv.attributes RISCV_ATTRIBUTE 00000000 003eb6 00002a 00 0 0 1
[12] .debug_aranges PROGBITS 00000000 003ee0 000078 00 0 0 1
[13] .debug_info PROGBITS 00000000 003f58 0017b0 00 0 0 1
[14] .debug_abbrev PROGBITS 00000000 005708 00059f 00 0 0 1
[15] .debug_line PROGBITS 00000000 005ca7 001792 00 0 0 1
[16] .debug_str PROGBITS 00000000 007439 0002ac 01 MS 0 0 1
[17] .debug_line_str PROGBITS 00000000 0076e5 0000aa 01 MS 0 0 1
[18] .debug_loclists PROGBITS 00000000 00778f 001aba 00 0 0 1
[19] .debug_rnglists PROGBITS 00000000 009249 0002c6 00 0 0 1
[20] .symtab SYMTAB 00000000 009510 000800 10 21 75 4
[21] .strtab STRTAB 00000000 009d10 000484 00 0 0 1
[22] .shstrtab STRTAB 00000000 00a194 0000ee 00 0 0 1
[ 1] .text PROGBITS 00000074 000074 001228 00 AX 0 0 4
[ 2] .rodata PROGBITS 0000129c 00129c 000100 00 A 0 0 4
[ 3] .eh_frame PROGBITS 0000239c 00139c 000004 00 WA 0 0 4
[ 4] .init_array INIT_ARRAY 000023a0 0013a0 000008 04 WA 0 0 4
[ 5] .fini_array FINI_ARRAY 000023a8 0013a8 000004 04 WA 0 0 4
[ 6] .data PROGBITS 000023b0 0013b0 000428 00 WA 0 0 8
[ 7] .sdata PROGBITS 000027d8 0017d8 00000c 00 WA 0 0 4
[ 8] .sbss NOBITS 000027e4 0017e4 000008 00 WA 0 0 4
[ 9] .bss NOBITS 000027ec 0017e4 00001c 00 WA 0 0 4
[10] .comment PROGBITS 00000000 0017e4 000012 01 MS 0 0 1
[11] .riscv.attributes RISCV_ATTRIBUTE 00000000 0017f6 000021 00 0 0 1
[12] .debug_aranges PROGBITS 00000000 001817 000040 00 0 0 1
[13] .debug_info PROGBITS 00000000 001857 000f77 00 0 0 1
[14] .debug_abbrev PROGBITS 00000000 0027ce 000389 00 0 0 1
[15] .debug_line PROGBITS 00000000 002b57 00102c 00 0 0 1
[16] .debug_str PROGBITS 00000000 003b83 0001ef 01 MS 0 0 1
[17] .debug_line_str PROGBITS 00000000 003d72 0000b0 01 MS 0 0 1
[18] .debug_loclists PROGBITS 00000000 003e22 001021 00 0 0 1
[19] .debug_rnglists PROGBITS 00000000 004e43 0001b5 00 0 0 1
[20] .symtab SYMTAB 00000000 004ff8 000540 10 21 65 4
[21] .strtab STRTAB 00000000 005538 0002b2 00 0 0 1
[22] .shstrtab STRTAB 00000000 0057ea 0000ee 00 0 0 1
Key to Flags:
W (write), A (alloc), X (execute), M (merge), S (strings), I (info),
L (link order), O (extra OS processing required), G (group), T (TLS),
......@@ -54,8 +54,8 @@ There are no section groups in this file.
Program Headers:
Type Offset VirtAddr PhysAddr FileSiz MemSiz Flg Align
LOAD 0x000000 0x00000000 0x00000000 0x0396c 0x0396c R E 0x1000
LOAD 0x00396c 0x0000496c 0x0000496c 0x00538 0x0255c RW 0x1000
LOAD 0x000000 0x00000000 0x00000000 0x0139c 0x0139c R E 0x1000
LOAD 0x00139c 0x0000239c 0x0000239c 0x00448 0x0046c RW 0x1000
Section to Segment mapping:
Segment Sections...
......@@ -68,18 +68,18 @@ There are no relocations in this file.
The decoding of unwind sections for machine type RISC-V is not currently supported.
Symbol table '.symtab' contains 128 entries:
Symbol table '.symtab' contains 84 entries:
Num: Value Size Type Bind Vis Ndx Name
0: 00000000 0 NOTYPE LOCAL DEFAULT UND
1: 00000074 0 SECTION LOCAL DEFAULT 1 .text
2: 000032c8 0 SECTION LOCAL DEFAULT 2 .rodata
3: 0000496c 0 SECTION LOCAL DEFAULT 3 .eh_frame
4: 000049c0 0 SECTION LOCAL DEFAULT 4 .init_array
5: 000049c8 0 SECTION LOCAL DEFAULT 5 .fini_array
6: 000049d0 0 SECTION LOCAL DEFAULT 6 .data
7: 00004e98 0 SECTION LOCAL DEFAULT 7 .sdata
8: 00004ea4 0 SECTION LOCAL DEFAULT 8 .sbss
9: 00004eac 0 SECTION LOCAL DEFAULT 9 .bss
2: 0000129c 0 SECTION LOCAL DEFAULT 2 .rodata
3: 0000239c 0 SECTION LOCAL DEFAULT 3 .eh_frame
4: 000023a0 0 SECTION LOCAL DEFAULT 4 .init_array
5: 000023a8 0 SECTION LOCAL DEFAULT 5 .fini_array
6: 000023b0 0 SECTION LOCAL DEFAULT 6 .data
7: 000027d8 0 SECTION LOCAL DEFAULT 7 .sdata
8: 000027e4 0 SECTION LOCAL DEFAULT 8 .sbss
9: 000027ec 0 SECTION LOCAL DEFAULT 9 .bss
10: 00000000 0 SECTION LOCAL DEFAULT 10 .comment
11: 00000000 0 SECTION LOCAL DEFAULT 11 .riscv.attributes
12: 00000000 0 SECTION LOCAL DEFAULT 12 .debug_aranges
......@@ -93,116 +93,70 @@ Symbol table '.symtab' contains 128 entries:
20: 00000000 0 FILE LOCAL DEFAULT ABS __call_atexit.c
21: 00000074 24 FUNC LOCAL DEFAULT 1 register_fini
22: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c
23: 0000496c 0 OBJECT LOCAL DEFAULT 3 __EH_FRAME_BEGIN__
24: 000000dc 0 FUNC LOCAL DEFAULT 1 __do_global_dtors_aux
25: 00004eac 1 OBJECT LOCAL DEFAULT 9 completed.1
26: 000049c8 0 OBJECT LOCAL DEFAULT 5 __do_global_dtor[...]
27: 00000120 0 FUNC LOCAL DEFAULT 1 frame_dummy
28: 00004eb0 24 OBJECT LOCAL DEFAULT 9 object.0
29: 000049c4 0 OBJECT LOCAL DEFAULT 4 __frame_dummy_in[...]
23: 0000239c 0 OBJECT LOCAL DEFAULT 3
24: 0000008c 0 FUNC LOCAL DEFAULT 1 __do_global_dtors_aux
25: 000027ec 1 OBJECT LOCAL DEFAULT 9 completed.1
26: 000023a8 0 OBJECT LOCAL DEFAULT 5 __do_global_dtor[...]
27: 000000d0 0 FUNC LOCAL DEFAULT 1 frame_dummy
28: 000027f0 24 OBJECT LOCAL DEFAULT 9 object.0
29: 000023a4 0 OBJECT LOCAL DEFAULT 4 __frame_dummy_in[...]
30: 00000000 0 FILE LOCAL DEFAULT ABS console.c
31: 00004e9c 4 OBJECT LOCAL DEFAULT 7 _uartaddr
32: 00004ea4 4 OBJECT LOCAL DEFAULT 8 _uartstate
33: 00000144 64 FUNC LOCAL DEFAULT 1 _canputchar
34: 00000184 68 FUNC LOCAL DEFAULT 1 _haschar
35: 000001c8 96 FUNC LOCAL DEFAULT 1 _putchar
36: 00000228 84 FUNC LOCAL DEFAULT 1 _getchar
37: 0000027c 104 FUNC LOCAL DEFAULT 1 _puts
38: 000002e4 216 FUNC LOCAL DEFAULT 1 _gets
39: 000003bc 512 FUNC LOCAL DEFAULT 1 _d2s
40: 000005bc 424 FUNC LOCAL DEFAULT 1 _h2s
41: 00000764 252 FUNC LOCAL DEFAULT 1 _s2d
42: 00000860 312 FUNC LOCAL DEFAULT 1 _s2h
43: 00000998 140 FUNC LOCAL DEFAULT 1 _strcat
44: 00000a24 152 FUNC LOCAL DEFAULT 1 _strncmp
45: 00004ea8 4 OBJECT LOCAL DEFAULT 8 displayaddr
46: 00000abc 628 FUNC LOCAL DEFAULT 1 dispmem
47: 00000d30 372 FUNC LOCAL DEFAULT 1 liststatus
48: 00004ec8 4096 OBJECT LOCAL DEFAULT 9 coeff
49: 00005ec8 4096 OBJECT LOCAL DEFAULT 9 buf
50: 00000ea4 160 FUNC LOCAL DEFAULT 1 testmad
51: 00000f44 96 FUNC LOCAL DEFAULT 1 printhelp
52: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c
53: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c
54: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c
55: 00000000 0 FILE LOCAL DEFAULT ABS exit.c
56: 00000000 0 FILE LOCAL DEFAULT ABS init.c
57: 00000000 0 FILE LOCAL DEFAULT ABS memcpy.c
58: 00000000 0 FILE LOCAL DEFAULT ABS fini.c
59: 00000000 0 FILE LOCAL DEFAULT ABS atexit.c
60: 00000000 0 FILE LOCAL DEFAULT ABS __atexit.c
61: 00000000 0 FILE LOCAL DEFAULT ABS sys_exit.c
62: 00000000 0 FILE LOCAL DEFAULT ABS errno.c
63: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c
64: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c
65: 000049bc 0 OBJECT LOCAL DEFAULT 3 __FRAME_END__
66: 00000000 0 FILE LOCAL DEFAULT ABS impure.c
67: 00004a70 1064 OBJECT LOCAL DEFAULT 6 impure_data
68: 00000000 0 FILE LOCAL DEFAULT ABS
69: 000049cc 0 NOTYPE LOCAL DEFAULT 5 __fini_array_end
70: 000049c8 0 NOTYPE LOCAL DEFAULT 5 __fini_array_start
71: 000049c8 0 NOTYPE LOCAL DEFAULT 4 __init_array_end
72: 000049c0 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_end
73: 000049c0 0 NOTYPE LOCAL DEFAULT 4 __init_array_start
74: 000049c0 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_start
75: 00001ff4 12 FUNC GLOBAL DEFAULT 1 cycle
76: 00002090 1144 FUNC GLOBAL HIDDEN 1 __divdi3
77: 00005698 0 NOTYPE GLOBAL DEFAULT ABS __global_pointer$
78: 000013a4 256 FUNC GLOBAL DEFAULT 1 testmod
79: 0000200c 12 FUNC GLOBAL DEFAULT 1 get_counter_addsub
80: 000032bc 12 FUNC GLOBAL DEFAULT 1 __errno
81: 000017a4 256 FUNC GLOBAL DEFAULT 1 testdivu
82: 00004e98 0 NOTYPE GLOBAL DEFAULT 7 __SDATA_BEGIN__
83: 00002e58 296 FUNC GLOBAL DEFAULT 1 memcpy
84: 000016a4 256 FUNC GLOBAL DEFAULT 1 testmulu
85: 000010a4 256 FUNC GLOBAL DEFAULT 1 testsub
86: 00002060 12 FUNC GLOBAL DEFAULT 1 get_counter_alui
87: 00002024 12 FUNC GLOBAL DEFAULT 1 get_counter_div
88: 00004e98 4 OBJECT GLOBAL DEFAULT 7 _global_impure_ptr
89: 00002dbc 156 FUNC GLOBAL DEFAULT 1 __libc_init_array
90: 00000fa4 256 FUNC GLOBAL DEFAULT 1 testadd
91: 00004a48 40 OBJECT GLOBAL DEFAULT 6 testname
92: 00002958 1072 FUNC GLOBAL HIDDEN 1 __udivdi3
93: 00003180 92 FUNC GLOBAL DEFAULT 1 __libc_fini_array
94: 000012a4 256 FUNC GLOBAL DEFAULT 1 testdiv
95: 0000203c 12 FUNC GLOBAL DEFAULT 1 get_counter_st
96: 00004a20 40 OBJECT GLOBAL DEFAULT 6 testf
97: 0000305c 292 FUNC GLOBAL DEFAULT 1 __call_exitprocs
98: 0000008c 80 FUNC GLOBAL DEFAULT 1 _start
99: 000031f0 156 FUNC GLOBAL DEFAULT 1 __register_exitproc
100: 000049f8 40 OBJECT GLOBAL DEFAULT 6 statusname
101: 000014a4 256 FUNC GLOBAL DEFAULT 1 testaddu
102: 00002048 12 FUNC GLOBAL DEFAULT 1 get_counter_jmp
103: 00002078 12 FUNC GLOBAL DEFAULT 1 clear_all_counter
104: 00006ec8 0 NOTYPE GLOBAL DEFAULT 9 __BSS_END__
105: 00004ea4 0 NOTYPE GLOBAL DEFAULT 8 __bss_start
106: 00002f80 220 FUNC GLOBAL DEFAULT 1 memset
107: 00001aa8 1356 FUNC GLOBAL DEFAULT 1 main
108: 000019a4 260 FUNC GLOBAL DEFAULT 1 testisa
109: 00002084 12 FUNC GLOBAL DEFAULT 1 stop_all_counter
110: 0000386c 256 OBJECT GLOBAL HIDDEN 2 __clz_tab
111: 000031dc 20 FUNC GLOBAL DEFAULT 1 atexit
112: 00002030 12 FUNC GLOBAL DEFAULT 1 get_counter_ld
113: 00004ea0 4 OBJECT GLOBAL DEFAULT 7 _impure_ptr
114: 0000206c 12 FUNC GLOBAL DEFAULT 1 get_counter_alu
115: 000049d0 0 NOTYPE GLOBAL DEFAULT 6 __DATA_BEGIN__
116: 00004ea4 0 NOTYPE GLOBAL DEFAULT 7 _edata
117: 000018a4 256 FUNC GLOBAL DEFAULT 1 testmodu
118: 00006ec8 0 NOTYPE GLOBAL DEFAULT 9 _end
119: 000049d0 40 OBJECT GLOBAL DEFAULT 6 statusgetfuncs
120: 00002018 12 FUNC GLOBAL DEFAULT 1 get_counter_mul
121: 00002d88 52 FUNC GLOBAL DEFAULT 1 exit
122: 00002054 12 FUNC GLOBAL DEFAULT 1 get_counter_j
123: 00002508 1104 FUNC GLOBAL HIDDEN 1 __moddi3
124: 0000328c 48 FUNC GLOBAL DEFAULT 1 _exit
125: 000011a4 256 FUNC GLOBAL DEFAULT 1 testmul
126: 00002000 12 FUNC GLOBAL DEFAULT 1 instrcount
127: 000015a4 256 FUNC GLOBAL DEFAULT 1 testsubu
31: 000027dc 4 OBJECT LOCAL DEFAULT 7 _uartaddr
32: 000027e4 4 OBJECT LOCAL DEFAULT 8 _uartstate
33: 000000f0 60 FUNC LOCAL DEFAULT 1 _canputchar
34: 0000012c 64 FUNC LOCAL DEFAULT 1 _haschar
35: 0000016c 88 FUNC LOCAL DEFAULT 1 _putchar
36: 000001c4 76 FUNC LOCAL DEFAULT 1 _getchar
37: 00000210 104 FUNC LOCAL DEFAULT 1 _puts
38: 00000278 216 FUNC LOCAL DEFAULT 1 _gets
39: 00000350 388 FUNC LOCAL DEFAULT 1 _i2s
40: 000004d4 424 FUNC LOCAL DEFAULT 1 _h2s
41: 0000067c 252 FUNC LOCAL DEFAULT 1 _s2d
42: 00000778 312 FUNC LOCAL DEFAULT 1 _s2h
43: 000027e8 4 OBJECT LOCAL DEFAULT 8 displayaddr
44: 000008b0 560 FUNC LOCAL DEFAULT 1 dispmem
45: 00000ae0 96 FUNC LOCAL DEFAULT 1 printhelp
46: 00000000 0 FILE LOCAL DEFAULT ABS exit.c
47: 00000000 0 FILE LOCAL DEFAULT ABS fini.c
48: 00000000 0 FILE LOCAL DEFAULT ABS atexit.c
49: 00000000 0 FILE LOCAL DEFAULT ABS __atexit.c
50: 00000000 0 FILE LOCAL DEFAULT ABS sys_exit.c
51: 00000000 0 FILE LOCAL DEFAULT ABS errno.c
52: 00000000 0 FILE LOCAL DEFAULT ABS crtstuff.c
53: 0000239c 0 OBJECT LOCAL DEFAULT 3 __FRAME_END__
54: 00000000 0 FILE LOCAL DEFAULT ABS impure.c
55: 000023b0 1064 OBJECT LOCAL DEFAULT 6 impure_data
56: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c
57: 00000000 0 FILE LOCAL DEFAULT ABS libgcc2.c
58: 00000000 0 FILE LOCAL DEFAULT ABS
59: 000023ac 0 NOTYPE LOCAL DEFAULT 5 __fini_array_end
60: 000023a8 0 NOTYPE LOCAL DEFAULT 5 __fini_array_start
61: 000023a8 0 NOTYPE LOCAL DEFAULT 4 __init_array_end
62: 000023a0 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_end
63: 000023a0 0 NOTYPE LOCAL DEFAULT 4 __init_array_start
64: 000023a0 0 NOTYPE LOCAL DEFAULT 4 __preinit_array_start
65: 00002bb0 0 NOTYPE GLOBAL DEFAULT ABS __global_pointer$
66: 00001294 8 FUNC GLOBAL DEFAULT 1 __errno
67: 000027d8 0 NOTYPE GLOBAL DEFAULT 7 __SDATA_BEGIN__
68: 000027d8 4 OBJECT GLOBAL DEFAULT 7 _global_impure_ptr
69: 0000115c 92 FUNC GLOBAL DEFAULT 1 __libc_fini_array
70: 00000f00 48 FUNC GLOBAL DEFAULT 1 __start
71: 0000103c 288 FUNC GLOBAL DEFAULT 1 __call_exitprocs
72: 000011cc 152 FUNC GLOBAL DEFAULT 1 __register_exitproc
73: 00002808 0 NOTYPE GLOBAL DEFAULT 9 __BSS_END__
74: 000027e4 0 NOTYPE GLOBAL DEFAULT 8 __bss_start
75: 00000f60 220 FUNC GLOBAL DEFAULT 1 memset
76: 00000b40 960 FUNC GLOBAL DEFAULT 1 main
77: 000011b8 20 FUNC GLOBAL DEFAULT 1 atexit
78: 000027e0 4 OBJECT GLOBAL DEFAULT 7 _impure_ptr
79: 000023b0 0 NOTYPE GLOBAL DEFAULT 6 __DATA_BEGIN__
80: 000027e4 0 NOTYPE GLOBAL DEFAULT 7 _edata
81: 00002808 0 NOTYPE GLOBAL DEFAULT 9 _end
82: 00000f30 48 FUNC GLOBAL DEFAULT 1 exit
83: 00001264 48 FUNC GLOBAL DEFAULT 1 _exit
No version information found in this file.
Attribute Section: riscv
File Attributes
Tag_RISCV_stack_align: 16-bytes
Tag_RISCV_arch: "rv32i2p0_m2p0_a2p0"
Tag_RISCV_priv_spec: 1
Tag_RISCV_priv_spec_minor: 11
Tag_RISCV_arch: "rv32i2p0_m2p0"
......@@ -6,7 +6,7 @@
OUTPUT_FORMAT("elf32-littleriscv", "elf32-littleriscv",
"elf32-littleriscv")
OUTPUT_ARCH(riscv)
ENTRY(_start)
ENTRY(__start)
SEARCH_DIR("/home/raoxianhong/work/riscv/riscv-elf/riscv32-unknown-elf/lib");
SECTIONS
{
......
......@@ -35,3650 +35,3650 @@ BEGIN
001C : CDCDCDCD;
001D : 00000793;
001E : 00078863;
001F : 00003537;
0020 : 18050513;
0021 : 1580306F;
001F : 00001537;
0020 : 44850513;
0021 : 4200106F;
0022 : 00008067;
0023 : 00005197;
0024 : 60C18193;
0025 : 80C18513;
0026 : 00007617;
0027 : E3060613;
0028 : 40A60633;
0029 : 00000593;
002A : 6D9020EF;
002B : 00003517;
002C : 13050513;
002D : 00050863;
002E : 00003517;
002F : 0C850513;
0030 : 11C030EF;
0031 : 4F9020EF;
0032 : 00012503;
0033 : 00410593;
0034 : 00000613;
0035 : 1D5010EF;
0036 : 4B10206F;
0037 : FF010113;
0038 : 00812423;
0039 : 8141C783;
003A : 00112623;
003B : 02079263;
003C : 00000793;
003D : 00078A63;
003E : 00005537;
003F : 96C50513;
0040 : 00000097;
0041 : 000000E7;
0042 : 00100793;
0043 : 80F18A23;
0044 : 00C12083;
0045 : 00812403;
0046 : 01010113;
0047 : 00008067;
0048 : 00000793;
0049 : 00078E63;
004A : 000055B7;
004B : 00005537;
004C : EB058593;
004D : 96C50513;
004E : 00000317;
004F : 00000067;
0050 : 00008067;
0051 : FF010113;
0052 : 00812623;
0053 : 01010413;
0054 : 000057B7;
0055 : E9C7A783;
0056 : 00878793;
0057 : 0007A703;
0058 : 80E1A623;
0059 : 80C1A783;
005A : 0017F793;
005B : 0017B793;
005C : 0FF7F793;
005D : 00078513;
005E : 00C12403;
005F : 01010113;
0060 : 00008067;
0061 : FF010113;
0062 : 00812623;
0063 : 01010413;
0064 : 000057B7;
0065 : E9C7A783;
0066 : 00878793;
0067 : 0007A703;
0068 : 80E1A623;
0069 : 80C1A703;
006A : 000107B7;
006B : 00F777B3;
006C : 0017B793;
006D : 0FF7F793;
006E : 00078513;
006F : 00C12403;
0070 : 01010113;
0071 : 00008067;
0072 : FE010113;
0073 : 00812E23;
0074 : 02010413;
0075 : FEA42623;
0076 : 000057B7;
0077 : E9C7A783;
0078 : 00878793;
0079 : 0007A703;
007A : 80E1A623;
007B : 80C1A783;
007C : 0017F793;
007D : 02079063;
007E : 000057B7;
007F : E9C7A783;
0080 : 00478793;
0081 : FEC42703;
0082 : 00E7A023;
0083 : 00000793;
0084 : 0080006F;
0085 : FFF00793;
0086 : 00078513;
0087 : 01C12403;
0088 : 02010113;
0089 : 00008067;
008A : FF010113;
008B : 00812623;
008C : 01010413;
008D : 000057B7;
008E : E9C7A783;
008F : 00878793;
0090 : 0007A703;
0091 : 80E1A623;
0092 : 80C1A703;
0093 : 000107B7;
0094 : 00F777B3;
0095 : 00079A63;
0096 : 000057B7;
0097 : E9C7A783;
0098 : 0007A783;
0099 : 0080006F;
009A : FFF00793;
009B : 00078513;
009C : 00C12403;
009D : 01010113;
009E : 00008067;
009F : FE010113;
00A0 : 00112E23;
00A1 : 00812C23;
00A2 : 02010413;
00A3 : FEA42623;
00A4 : 0300006F;
00A5 : 00000013;
00A6 : FEC42783;
00A7 : 0007C783;
00A8 : 00078513;
00A9 : F25FF0EF;
00AA : 00050713;
00AB : FFF00793;
00AC : FEF704E3;
00AD : FEC42783;
00AE : 00178793;
00AF : FEF42623;
00B0 : FEC42783;
00B1 : 0007C783;
00B2 : FC0796E3;
00B3 : 00000793;
00B4 : 00078513;
00B5 : 01C12083;
00B6 : 01812403;
00B7 : 02010113;
00B8 : 00008067;
00B9 : FD010113;
00BA : 02112623;
00BB : 02812423;
00BC : 03010413;
00BD : FCA42E23;
00BE : FCB42C23;
00BF : FE042623;
00C0 : FD842703;
00C1 : 00100793;
00C2 : 00E7C663;
00C3 : 00000793;
00C4 : 0980006F;
00C5 : F15FF0EF;
00C6 : FEA42423;
00C7 : FE842703;
00C8 : FFF00793;
00C9 : FEF708E3;
00CA : FEC42783;
00CB : 00178713;
00CC : FEE42623;
00CD : 00078713;
00CE : FDC42783;
00CF : 00E787B3;
00D0 : FE842703;
00D1 : 0FF77713;
00D2 : 00E78023;
00D3 : 00000013;
00D4 : FE842503;
00D5 : E75FF0EF;
00D6 : 00050713;
00D7 : FFF00793;
00D8 : FEF708E3;
00D9 : FD842783;
00DA : FFF78793;
00DB : FEC42703;
00DC : 02F75063;
00DD : FE842703;
00DE : 00A00793;
00DF : 00F70C63;
00E0 : FE842703;
00E1 : 00D00793;
00E2 : 00F70663;
00E3 : F89FF06F;
00E4 : 00000013;
00E5 : FEC42783;
00E6 : FDC42703;
00E7 : 00F707B3;
00E8 : 00078023;
00E9 : FEC42783;
00EA : 00078513;
00EB : 02C12083;
00EC : 02812403;
00ED : 03010113;
00EE : 00008067;
00EF : FC010113;
00F0 : 02112E23;
00F1 : 02812C23;
00F2 : 04010413;
00F3 : FCA42623;
00F4 : FCB42023;
00F5 : FCC42223;
00F6 : FE042423;
00F7 : FE042223;
00F8 : FC442783;
00F9 : 0207DC63;
00FA : 00000613;
00FB : 00000693;
00FC : FC042503;
00FD : FC442583;
00FE : 40A60733;
00FF : 00070813;
0100 : 01063833;
0101 : 40B687B3;
0102 : 410786B3;
0103 : 00068793;
0104 : FCE42023;
0105 : FCF42223;
0106 : FE042223;
0107 : FC042783;
0108 : FC442703;
0109 : 00E7E7B3;
010A : 0A079063;
010B : FE842783;
010C : 00178713;
010D : FEE42423;
010E : 00078713;
010F : FCC42783;
0110 : 00E787B3;
0111 : 03000713;
0112 : 00E78023;
0113 : 0BC0006F;
0114 : FC042703;
0115 : FC442783;
0116 : 00A00613;
0117 : 00000693;
0118 : 00070513;
0119 : 00078593;
011A : 0A0020EF;
011B : 00050713;
011C : 00058793;
011D : 0FF77713;
0023 : 00003197;
0024 : E1418193;
0025 : C3418513;
0026 : C5818613;
0027 : 40A60633;
0028 : 00000593;
0029 : 1A8010EF;
002A : 00001517;
002B : 3FC50513;
002C : 00050863;
002D : 00001517;
002E : 39450513;
002F : 3E8010EF;
0030 : 0F0010EF;
0031 : 00012503;
0032 : 00410593;
0033 : 00000613;
0034 : 425000EF;
0035 : 0AC0106F;
0036 : FF010113;
0037 : 00812423;
0038 : C3C1C783;
0039 : 00112623;
003A : 02079263;
003B : 00000793;
003C : 00078A63;
003D : 00002537;
003E : 69050513;
003F : 00000097;
0040 : 000000E7;
0041 : 00100793;
0042 : C2F18E23;
0043 : 00C12083;
0044 : 00812403;
0045 : 01010113;
0046 : 00008067;
0047 : 00000793;
0048 : 00078C63;
0049 : 00002537;
004A : C4018593;
004B : 69050513;
004C : 00000317;
004D : 00000067;
004E : 00008067;
004F : FF010113;
0050 : 00812623;
0051 : 01010413;
0052 : C2C1A783;
0053 : 00878793;
0054 : 0007A703;
0055 : C2E1AA23;
0056 : C341A783;
0057 : 0017F793;
0058 : 0017B793;
0059 : 0FF7F793;
005A : 00078513;
005B : 00C12403;
005C : 01010113;
005D : 00008067;
005E : FF010113;
005F : 00812623;
0060 : 01010413;
0061 : C2C1A783;
0062 : 00878793;
0063 : 0007A703;
0064 : C2E1AA23;
0065 : C341A703;
0066 : 000107B7;
0067 : 00F777B3;
0068 : 0017B793;
0069 : 0FF7F793;
006A : 00078513;
006B : 00C12403;
006C : 01010113;
006D : 00008067;
006E : FE010113;
006F : 00812E23;
0070 : 02010413;
0071 : FEA42623;
0072 : C2C1A783;
0073 : 00878793;
0074 : 0007A703;
0075 : C2E1AA23;
0076 : C341A783;
0077 : 0017F793;
0078 : 00079E63;
0079 : C2C1A783;
007A : 00478793;
007B : FEC42703;
007C : 00E7A023;
007D : 00000793;
007E : 0080006F;
007F : FFF00793;
0080 : 00078513;
0081 : 01C12403;
0082 : 02010113;
0083 : 00008067;
0084 : FF010113;
0085 : 00812623;
0086 : 01010413;
0087 : C2C1A783;
0088 : 00878793;
0089 : 0007A703;
008A : C2E1AA23;
008B : C341A703;
008C : 000107B7;
008D : 00F777B3;
008E : 00079863;
008F : C2C1A783;
0090 : 0007A783;
0091 : 0080006F;
0092 : FFF00793;
0093 : 00078513;
0094 : 00C12403;
0095 : 01010113;
0096 : 00008067;
0097 : FE010113;
0098 : 00112E23;
0099 : 00812C23;
009A : 02010413;
009B : FEA42623;
009C : 0300006F;
009D : 00000013;
009E : FEC42783;
009F : 0007C783;
00A0 : 00078513;
00A1 : F35FF0EF;
00A2 : 00050713;
00A3 : FFF00793;
00A4 : FEF704E3;
00A5 : FEC42783;
00A6 : 00178793;
00A7 : FEF42623;
00A8 : FEC42783;
00A9 : 0007C783;
00AA : FC0796E3;
00AB : 00000793;
00AC : 00078513;
00AD : 01C12083;
00AE : 01812403;
00AF : 02010113;
00B0 : 00008067;
00B1 : FD010113;
00B2 : 02112623;
00B3 : 02812423;
00B4 : 03010413;
00B5 : FCA42E23;
00B6 : FCB42C23;
00B7 : FE042623;
00B8 : FD842703;
00B9 : 00100793;
00BA : 00E7C663;
00BB : 00000793;
00BC : 0980006F;
00BD : F1DFF0EF;
00BE : FEA42423;
00BF : FE842703;
00C0 : FFF00793;
00C1 : FEF708E3;
00C2 : FEC42783;
00C3 : 00178713;
00C4 : FEE42623;
00C5 : 00078713;
00C6 : FDC42783;
00C7 : 00E787B3;
00C8 : FE842703;
00C9 : 0FF77713;
00CA : 00E78023;
00CB : 00000013;
00CC : FE842503;
00CD : E85FF0EF;
00CE : 00050713;
00CF : FFF00793;
00D0 : FEF708E3;
00D1 : FD842783;
00D2 : FFF78793;
00D3 : FEC42703;
00D4 : 02F75063;
00D5 : FE842703;
00D6 : 00A00793;
00D7 : 00F70C63;
00D8 : FE842703;
00D9 : 00D00793;
00DA : 00F70663;
00DB : F89FF06F;
00DC : 00000013;
00DD : FEC42783;
00DE : FDC42703;
00DF : 00F707B3;
00E0 : 00078023;
00E1 : FEC42783;
00E2 : 00078513;
00E3 : 02C12083;
00E4 : 02812403;
00E5 : 03010113;
00E6 : 00008067;
00E7 : FC010113;
00E8 : 02812E23;
00E9 : 04010413;
00EA : FCA42623;
00EB : FCB42423;
00EC : FE042423;
00ED : FE042223;
00EE : FC842783;
00EF : 0007DA63;
00F0 : FC842783;
00F1 : 40F007B3;
00F2 : FCF42423;
00F3 : FE042223;
00F4 : FC842783;
00F5 : 06079663;
00F6 : FE842783;
00F7 : 00178713;
00F8 : FEE42423;
00F9 : 00078713;
00FA : FCC42783;
00FB : 00E787B3;
00FC : 03000713;
00FD : 00E78023;
00FE : 0780006F;
00FF : FC842703;
0100 : 00A00793;
0101 : 02F767B3;
0102 : 0FF7F713;
0103 : FE842783;
0104 : 00178693;
0105 : FED42423;
0106 : 00078693;
0107 : FCC42783;
0108 : 00D787B3;
0109 : 03070713;
010A : 0FF77713;
010B : 00E78023;
010C : FC842703;
010D : 00A00793;
010E : 02F747B3;
010F : FCF42423;
0110 : FC842783;
0111 : FAF04CE3;
0112 : FE442783;
0113 : 02078263;
0114 : FE842783;
0115 : 00178713;
0116 : FEE42423;
0117 : 00078713;
0118 : FCC42783;
0119 : 00E787B3;
011A : 02D00713;
011B : 00E78023;
011C : FE042623;
011D : 06C0006F;
011E : FE842783;
011F : 00178693;
0120 : FED42423;
0121 : 00078693;
0122 : FCC42783;
0123 : 00D787B3;
0124 : 03070713;
0125 : 0FF77713;
0126 : 00E78023;
0127 : FC042703;
0128 : FC442783;
0129 : 00A00613;
012A : 00000693;
012B : 00070513;
012C : 00078593;
012D : 3DD010EF;
012E : 00050713;
012F : 00058793;
0130 : FCE42023;
0131 : FCF42223;
0132 : FC442783;
0133 : F8F042E3;
0134 : FC442783;
0135 : 00079663;
0136 : FC042783;
0137 : F6079AE3;
0138 : FE442783;
0139 : 02078263;
013A : FE842783;
013B : 00178713;
013C : FEE42423;
013D : 00078713;
013E : FCC42783;
013F : 00E787B3;
0140 : 02D00713;
0141 : 00E78023;
0142 : FE042623;
0143 : 06C0006F;
0144 : FE842783;
0145 : FFF78713;
0146 : FEC42783;
0147 : 40F707B3;
0148 : FEF42023;
0149 : FEC42783;
014A : FCC42703;
014B : 00F707B3;
014C : 0007C783;
014D : FCF40FA3;
014E : FE042783;
014F : FCC42703;
0150 : 00F70733;
0151 : FEC42783;
0152 : FCC42683;
0153 : 00F687B3;
0154 : 00074703;
0155 : 00E78023;
0156 : FE042783;
0157 : FCC42703;
0158 : 00F707B3;
0159 : FDF44703;
015A : 00E78023;
015B : FEC42783;
015C : 00178793;
015D : FEF42623;
015E : FE842783;
015F : 01F7D713;
0160 : 00F707B3;
0161 : 4017D793;
0162 : 00078713;
0163 : FEC42783;
0164 : F8E7C0E3;
0165 : FE842783;
0166 : FCC42703;
0167 : 00F707B3;
0168 : 00078023;
0169 : FE842783;
016A : 00078513;
016B : 03C12083;
016C : 03812403;
016D : 04010113;
016E : 00008067;
016F : FB010113;
0170 : 04812623;
0171 : 05010413;
0172 : FCA42623;
0173 : FCB42023;
0174 : FCC42223;
0175 : FCD42423;
0176 : 00070793;
0177 : FAF40FA3;
0178 : FE042423;
0179 : 0900006F;
017A : FC042783;
017B : 00F7F793;
017C : FCF42E23;
017D : FDC42703;
017E : 00900793;
017F : 02E7C463;
0180 : FDC42783;
0181 : 0FF7F713;
0182 : FE842783;
0183 : FCC42683;
0184 : 00F687B3;
0185 : 03070713;
0186 : 0FF77713;
0187 : 00E78023;
0188 : 0240006F;
0189 : FDC42783;
018A : 0FF7F713;
018B : FE842783;
018C : FCC42683;
018D : 00F687B3;
018E : 05770713;
018F : 0FF77713;
0190 : 00E78023;
0191 : FC442783;
0192 : 01C79793;
0193 : FC042703;
0194 : 00475813;
0195 : 0107E833;
0196 : FC442783;
0197 : 0047D893;
0198 : FD042023;
0199 : FD142223;
019A : FE842783;
019B : 00178793;
019C : FEF42423;
019D : FC042783;
019E : FC442703;
019F : 00E7E7B3;
01A0 : F60794E3;
01A1 : 0240006F;
011F : FFF78713;
0120 : FEC42783;
0121 : 40F707B3;
0122 : FEF42023;
0123 : FEC42783;
0124 : FCC42703;
0125 : 00F707B3;
0126 : 0007C783;
0127 : FCF40FA3;
0128 : FE042783;
0129 : FCC42703;
012A : 00F70733;
012B : FEC42783;
012C : FCC42683;
012D : 00F687B3;
012E : 00074703;
012F : 00E78023;
0130 : FE042783;
0131 : FCC42703;
0132 : 00F707B3;
0133 : FDF44703;
0134 : 00E78023;
0135 : FEC42783;
0136 : 00178793;
0137 : FEF42623;
0138 : FE842783;
0139 : 01F7D713;
013A : 00F707B3;
013B : 4017D793;
013C : 00078713;
013D : FEC42783;
013E : F8E7C0E3;
013F : FE842783;
0140 : FCC42703;
0141 : 00F707B3;
0142 : 00078023;
0143 : FE842783;
0144 : 00078513;
0145 : 03C12403;
0146 : 04010113;
0147 : 00008067;
0148 : FB010113;
0149 : 04812623;
014A : 05010413;
014B : FCA42623;
014C : FCB42023;
014D : FCC42223;
014E : FCD42423;
014F : 00070793;
0150 : FAF40FA3;
0151 : FE042423;
0152 : 0900006F;
0153 : FC042783;
0154 : 00F7F793;
0155 : FCF42E23;
0156 : FDC42703;
0157 : 00900793;
0158 : 02E7C463;
0159 : FDC42783;
015A : 0FF7F713;
015B : FE842783;
015C : FCC42683;
015D : 00F687B3;
015E : 03070713;
015F : 0FF77713;
0160 : 00E78023;
0161 : 0240006F;
0162 : FDC42783;
0163 : 0FF7F713;
0164 : FE842783;
0165 : FCC42683;
0166 : 00F687B3;
0167 : 05770713;
0168 : 0FF77713;
0169 : 00E78023;
016A : FC442783;
016B : 01C79793;
016C : FC042703;
016D : 00475813;
016E : 0107E833;
016F : FC442783;
0170 : 0047D893;
0171 : FD042023;
0172 : FD142223;
0173 : FE842783;
0174 : 00178793;
0175 : FEF42423;
0176 : FC042783;
0177 : FC442703;
0178 : 00E7E7B3;
0179 : F60794E3;
017A : 0240006F;
017B : FE842783;
017C : 00178713;
017D : FEE42423;
017E : 00078713;
017F : FCC42783;
0180 : 00E787B3;
0181 : FBF44703;
0182 : 00E78023;
0183 : FE842703;
0184 : FC842783;
0185 : FCF74CE3;
0186 : FE042623;
0187 : 06C0006F;
0188 : FE842783;
0189 : FFF78713;
018A : FEC42783;
018B : 40F707B3;
018C : FEF42223;
018D : FEC42783;
018E : FCC42703;
018F : 00F707B3;
0190 : 0007C783;
0191 : FEF401A3;
0192 : FE442783;
0193 : FCC42703;
0194 : 00F70733;
0195 : FEC42783;
0196 : FCC42683;
0197 : 00F687B3;
0198 : 00074703;
0199 : 00E78023;
019A : FE442783;
019B : FCC42703;
019C : 00F707B3;
019D : FE344703;
019E : 00E78023;
019F : FEC42783;
01A0 : 00178793;
01A1 : FEF42623;
01A2 : FE842783;
01A3 : 00178713;
01A4 : FEE42423;
01A5 : 00078713;
01A6 : FCC42783;
01A7 : 00E787B3;
01A8 : FBF44703;
01A9 : 00E78023;
01AA : FE842703;
01AB : FC842783;
01AC : FCF74CE3;
01AD : FE042623;
01AE : 06C0006F;
01AF : FE842783;
01B0 : FFF78713;
01B1 : FEC42783;
01B2 : 40F707B3;
01B3 : FEF42223;
01B4 : FEC42783;
01B5 : FCC42703;
01B6 : 00F707B3;
01B7 : 0007C783;
01B8 : FEF401A3;
01B9 : FE442783;
01BA : FCC42703;
01BB : 00F70733;
01BC : FEC42783;
01BD : FCC42683;
01BE : 00F687B3;
01BF : 00074703;
01C0 : 00E78023;
01C1 : FE442783;
01C2 : FCC42703;
01C3 : 00F707B3;
01C4 : FE344703;
01C5 : 00E78023;
01C6 : FEC42783;
01C7 : 00178793;
01C8 : FEF42623;
01C9 : FE842783;
01CA : 01F7D713;
01CB : 00F707B3;
01CC : 4017D793;
01CD : 00078713;
01CE : FEC42783;
01CF : F8E7C0E3;
01D0 : FE842783;
01D1 : FCC42703;
01D2 : 00F707B3;
01D3 : 00078023;
01D4 : FE842783;
01D5 : 00078513;
01D6 : 04C12403;
01D7 : 05010113;
01D8 : 00008067;
01D9 : FD010113;
01DA : 02812623;
01DB : 03010413;
01DC : FCA42E23;
01DD : FCB42C23;
01DE : FE042623;
01DF : FE042423;
01E0 : 00100793;
01E1 : FEF42223;
01E2 : 08C0006F;
01E3 : FDC42783;
01E4 : 0007C783;
01E5 : FEF42023;
01E6 : FE042703;
01E7 : 02F00793;
01E8 : 04E7D263;
01E9 : FE042703;
01EA : 03900793;
01EB : 02E7CC63;
01EC : FE842703;
01ED : 00070793;
01EE : 00279793;
01EF : 00E787B3;
01F0 : 00179793;
01F1 : 00078713;
01F2 : FE042783;
01F3 : 00F707B3;
01F4 : FD078793;
01F5 : FEF42423;
01F6 : 00100793;
01F7 : FEF42623;
01F8 : 0280006F;
01F9 : FEC42783;
01FA : 02079E63;
01FB : FE042703;
01FC : 02D00793;
01FD : 00F71A63;
01FE : FFF00793;
01FF : FEF42223;
0200 : 00100793;
0201 : FEF42623;
0202 : FDC42783;
0203 : 00178793;
0204 : FCF42E23;
0205 : FDC42783;
0206 : 0007C783;
0207 : F60798E3;
0208 : 0080006F;
0209 : 00000013;
020A : FE842703;
020B : FE442783;
020C : 02F707B3;
020D : FEF42423;
020E : FD842783;
020F : 00078863;
0210 : FD842783;
0211 : FDC42703;
0212 : 00E7A023;
01A3 : 01F7D713;
01A4 : 00F707B3;
01A5 : 4017D793;
01A6 : 00078713;
01A7 : FEC42783;
01A8 : F8E7C0E3;
01A9 : FE842783;
01AA : FCC42703;
01AB : 00F707B3;
01AC : 00078023;
01AD : FE842783;
01AE : 00078513;
01AF : 04C12403;
01B0 : 05010113;
01B1 : 00008067;
01B2 : FD010113;
01B3 : 02812623;
01B4 : 03010413;
01B5 : FCA42E23;
01B6 : FCB42C23;
01B7 : FE042623;
01B8 : FE042423;
01B9 : 00100793;
01BA : FEF42223;
01BB : 08C0006F;
01BC : FDC42783;
01BD : 0007C783;
01BE : FEF42023;
01BF : FE042703;
01C0 : 02F00793;
01C1 : 04E7D263;
01C2 : FE042703;
01C3 : 03900793;
01C4 : 02E7CC63;
01C5 : FE842703;
01C6 : 00070793;
01C7 : 00279793;
01C8 : 00E787B3;
01C9 : 00179793;
01CA : 00078713;
01CB : FE042783;
01CC : 00F707B3;
01CD : FD078793;
01CE : FEF42423;
01CF : 00100793;
01D0 : FEF42623;
01D1 : 0280006F;
01D2 : FEC42783;
01D3 : 02079E63;
01D4 : FE042703;
01D5 : 02D00793;
01D6 : 00F71A63;
01D7 : FFF00793;
01D8 : FEF42223;
01D9 : 00100793;
01DA : FEF42623;
01DB : FDC42783;
01DC : 00178793;
01DD : FCF42E23;
01DE : FDC42783;
01DF : 0007C783;
01E0 : F60798E3;
01E1 : 0080006F;
01E2 : 00000013;
01E3 : FE842703;
01E4 : FE442783;
01E5 : 02F707B3;
01E6 : FEF42423;
01E7 : FD842783;
01E8 : 00078863;
01E9 : FD842783;
01EA : FDC42703;
01EB : 00E7A023;
01EC : FE842783;
01ED : 00078513;
01EE : 02C12403;
01EF : 03010113;
01F0 : 00008067;
01F1 : FD010113;
01F2 : 02812623;
01F3 : 03010413;
01F4 : FCA42E23;
01F5 : FCB42C23;
01F6 : FE042623;
01F7 : FE042423;
01F8 : 0E00006F;
01F9 : FDC42783;
01FA : 0007C783;
01FB : FEF42223;
01FC : FE442703;
01FD : 02F00793;
01FE : 02E7DA63;
01FF : FE442703;
0200 : 03900793;
0201 : 02E7C463;
0202 : 00100793;
0203 : FEF42623;
0204 : FE842783;
0205 : 00479713;
0206 : FE442783;
0207 : 00F707B3;
0208 : FD078793;
0209 : FEF42423;
020A : 08C0006F;
020B : FE442703;
020C : 06000793;
020D : 02E7DA63;
020E : FE442703;
020F : 06600793;
0210 : 02E7C463;
0211 : 00100793;
0212 : FEF42623;
0213 : FE842783;
0214 : 00078513;
0215 : 02C12403;
0216 : 03010113;
0217 : 00008067;
0218 : FD010113;
0219 : 02812623;
021A : 03010413;
021B : FCA42E23;
021C : FCB42C23;
021D : FE042623;
021E : FE042423;
021F : 0E00006F;
0220 : FDC42783;
0221 : 0007C783;
0222 : FEF42223;
0223 : FE442703;
0224 : 02F00793;
0225 : 02E7DA63;
0226 : FE442703;
0227 : 03900793;
0228 : 02E7C463;
0229 : 00100793;
022A : FEF42623;
022B : FE842783;
022C : 00479713;
022D : FE442783;
022E : 00F707B3;
022F : FD078793;
0230 : FEF42423;
0231 : 08C0006F;
0232 : FE442703;
0233 : 06000793;
0234 : 02E7DA63;
0235 : FE442703;
0236 : 06600793;
0237 : 02E7C463;
0238 : 00100793;
0239 : FEF42623;
0214 : 00479713;
0215 : FE442783;
0216 : 00F707B3;
0217 : FA978793;
0218 : FEF42423;
0219 : 0500006F;
021A : FE442703;
021B : 04000793;
021C : 02E7DA63;
021D : FE442703;
021E : 04600793;
021F : 02E7C463;
0220 : 00100793;
0221 : FEF42623;
0222 : FE842783;
0223 : 00479713;
0224 : FE442783;
0225 : 00F707B3;
0226 : FC978793;
0227 : FEF42423;
0228 : 0140006F;
0229 : FEC42783;
022A : 02079463;
022B : 00100793;
022C : FEF42623;
022D : FDC42783;
022E : 00178793;
022F : FCF42E23;
0230 : FDC42783;
0231 : 0007C783;
0232 : F0079EE3;
0233 : 0080006F;
0234 : 00000013;
0235 : FD842783;
0236 : 00078863;
0237 : FD842783;
0238 : FDC42703;
0239 : 00E7A023;
023A : FE842783;
023B : 00479713;
023C : FE442783;
023D : 00F707B3;
023E : FA978793;
023F : FEF42423;
0240 : 0500006F;
0241 : FE442703;
0242 : 04000793;
0243 : 02E7DA63;
0244 : FE442703;
0245 : 04600793;
0246 : 02E7C463;
0247 : 00100793;
0248 : FEF42623;
0249 : FE842783;
024A : 00479713;
024B : FE442783;
024C : 00F707B3;
024D : FC978793;
024E : FEF42423;
024F : 0140006F;
0250 : FEC42783;
0251 : 02079463;
0252 : 00100793;
0253 : FEF42623;
0254 : FDC42783;
0255 : 00178793;
0256 : FCF42E23;
0257 : FDC42783;
0258 : 0007C783;
0259 : F0079EE3;
025A : 0080006F;
025B : 00000013;
025C : FD842783;
025D : 00078863;
025E : FD842783;
025F : FDC42703;
0260 : 00E7A023;
0261 : FE842783;
0262 : 00078513;
0263 : 02C12403;
0264 : 03010113;
0265 : 00008067;
0266 : FD010113;
0267 : 02812623;
0268 : 03010413;
0269 : FCA42E23;
026A : FCB42C23;
026B : FDC42783;
026C : FEF42623;
026D : 0100006F;
026E : FDC42783;
026F : 00178793;
0270 : FCF42E23;
0271 : FDC42783;
0272 : 0007C783;
0273 : FE0796E3;
0274 : 0240006F;
0275 : FD842703;
0276 : 00170793;
0277 : FCF42C23;
0278 : FDC42783;
0279 : 00178693;
027A : FCD42E23;
027B : 00074703;
027C : 00E78023;
027D : FD842783;
023B : 00078513;
023C : 02C12403;
023D : 03010113;
023E : 00008067;
023F : FD010113;
0240 : 02812623;
0241 : 03010413;
0242 : FCA42E23;
0243 : FCB42C23;
0244 : FDC42783;
0245 : FEF42623;
0246 : 0100006F;
0247 : FDC42783;
0248 : 00178793;
0249 : FCF42E23;
024A : FDC42783;
024B : 0007C783;
024C : FE0796E3;
024D : 0240006F;
024E : FD842703;
024F : 00170793;
0250 : FCF42C23;
0251 : FDC42783;
0252 : 00178693;
0253 : FCD42E23;
0254 : 00074703;
0255 : 00E78023;
0256 : FD842783;
0257 : 0007C783;
0258 : FC079CE3;
0259 : FDC42783;
025A : 00078023;
025B : FDC42703;
025C : FEC42783;
025D : 40F707B3;
025E : 00078513;
025F : 02C12403;
0260 : 03010113;
0261 : 00008067;
0262 : FD010113;
0263 : 02812623;
0264 : 03010413;
0265 : FCA42E23;
0266 : FCB42C23;
0267 : FCC42A23;
0268 : FE042623;
0269 : 0500006F;
026A : FDC42783;
026B : 00178713;
026C : FCE42E23;
026D : 0007C703;
026E : FD842783;
026F : 00178693;
0270 : FCD42C23;
0271 : 0007C783;
0272 : 00F70663;
0273 : 00100793;
0274 : 0400006F;
0275 : FEC42783;
0276 : 00178793;
0277 : FEF42623;
0278 : FEC42703;
0279 : FD442783;
027A : 00F74663;
027B : 00000793;
027C : 0200006F;
027D : FDC42783;
027E : 0007C783;
027F : FC079CE3;
0280 : FDC42783;
0281 : 00078023;
0282 : FDC42703;
0283 : FEC42783;
0284 : 40F707B3;
0285 : 00078513;
0286 : 02C12403;
0287 : 03010113;
0288 : 00008067;
0289 : FD010113;
028A : 02812623;
028B : 03010413;
028C : FCA42E23;
028D : FCB42C23;
028E : FCC42A23;
028F : FE042623;
0290 : 0500006F;
0291 : FDC42783;
0292 : 00178713;
0293 : FCE42E23;
0294 : 0007C703;
0295 : FD842783;
0296 : 00178693;
0297 : FCD42C23;
0298 : 0007C783;
0299 : 00F70663;
029A : 00100793;
029B : 0400006F;
029C : FEC42783;
029D : 00178793;
029E : FEF42623;
029F : FEC42703;
02A0 : FD442783;
02A1 : 00F74663;
02A2 : 00000793;
02A3 : 0200006F;
02A4 : FDC42783;
02A5 : 0007C783;
02A6 : 00078863;
02A7 : FD842783;
02A8 : 0007C783;
02A9 : FA0790E3;
02AA : 00000793;
02AB : 00078513;
02AC : 02C12403;
02AD : 03010113;
02AE : 00008067;
02AF : EC010113;
02B0 : 12112E23;
02B1 : 12812C23;
02B2 : 13212A23;
02B3 : 13312823;
02B4 : 13412623;
02B5 : 13512423;
02B6 : 14010413;
02B7 : 8101A783;
02B8 : FF07F793;
02B9 : FCF42A23;
02BA : FC042C23;
02BB : 1F80006F;
02BC : FD442783;
02BD : 00078913;
02BE : 00000993;
02BF : ECC40793;
02C0 : 03000713;
02C1 : 00800693;
02C2 : 00090593;
02C3 : 00098613;
02C4 : 00078513;
02C5 : AA9FF0EF;
02C6 : ECC40713;
02C7 : 000037B7;
02C8 : 2C878593;
02C9 : 00070513;
02CA : E71FF0EF;
02CB : FC042E23;
02CC : 0C00006F;
02CD : FD442783;
02CE : FCF42623;
02CF : FDC42703;
02D0 : FD442783;
02D1 : 00F70733;
02D2 : 8101A783;
02D3 : 00F77E63;
02D4 : ECC40713;
02D5 : 000037B7;
02D6 : 2CC78593;
02D7 : 00070513;
02D8 : E39FF0EF;
02D9 : 0600006F;
02DA : FDC42783;
02DB : FCC42703;
02DC : 00F707B3;
02DD : 0007C783;
02DE : 00078A13;
02DF : 00000A93;
02E0 : EC440793;
02E1 : 03000713;
02E2 : 00200693;
02E3 : 000A0593;
02E4 : 000A8613;
02E5 : 00078513;
02E6 : A25FF0EF;
02E7 : EC440713;
02E8 : 000037B7;
02E9 : 2D078593;
02EA : 00070513;
02EB : DEDFF0EF;
02EC : EC440713;
02ED : ECC40793;
02EE : 00070593;
02EF : 00078513;
02F0 : DD9FF0EF;
02F1 : FDC42703;
02F2 : 00700793;
02F3 : 00F71C63;
02F4 : ECC40713;
02F5 : 000037B7;
02F6 : 2D478593;
02F7 : 00070513;
02F8 : DB9FF0EF;
02F9 : FDC42783;
02FA : 00178793;
02FB : FCF42E23;
02FC : FDC42703;
02FD : 00F00793;
02FE : F2E7DEE3;
02FF : ECC40713;
0300 : 000037B7;
0301 : 2D878593;
0302 : 00070513;
0303 : D8DFF0EF;
0304 : FC042E23;
0305 : 0800006F;
0306 : FD442783;
0307 : FCF42823;
0308 : FDC42783;
0309 : FD042703;
030A : 00F707B3;
030B : 0007C703;
030C : 01F00793;
030D : 02E7FA63;
030E : FDC42783;
030F : FD042703;
0310 : 00F707B3;
0311 : 0007C703;
0312 : 07E00793;
0313 : 00E7EE63;
0314 : FDC42783;
0315 : FD042703;
0316 : 00F707B3;
0317 : 0007C783;
0318 : ECF40023;
0319 : 00C0006F;
031A : 02E00793;
031B : ECF40023;
031C : EC0400A3;
031D : EC040713;
031E : ECC40793;
031F : 00070593;
0320 : 00078513;
0321 : D15FF0EF;
0322 : FDC42783;
0323 : 00178793;
0324 : FCF42E23;
0325 : FDC42703;
0326 : 00F00793;
0327 : F6E7DEE3;
0328 : ECC40713;
0329 : 000037B7;
032A : 2DC78593;
032B : 00070513;
032C : CE9FF0EF;
032D : ECC40793;
032E : 00078513;
032F : DC0FF0EF;
0330 : FD442783;
0331 : 01078793;
0332 : FCF42A23;
0333 : FD442783;
0334 : 0FF7F793;
0335 : 02078063;
0336 : FD842783;
0337 : 00178793;
0338 : FCF42C23;
0339 : FD842703;
033A : 00F00793;
033B : E0E7D2E3;
033C : 0080006F;
033D : 00000013;
033E : 000037B7;
033F : 2E078513;
0340 : D7CFF0EF;
0341 : FD442703;
0342 : 80E1A823;
0343 : 00000013;
0344 : 13C12083;
0345 : 13812403;
0346 : 13412903;
0347 : 13012983;
0348 : 12C12A03;
0349 : 12812A83;
034A : 14010113;
034B : 00008067;
034C : FB010113;
034D : 04112623;
034E : 04812423;
034F : 05212223;
0350 : 05312023;
0351 : 05010413;
0352 : 2B8010EF;
0353 : FEA42023;
0354 : FEB42223;
0355 : FE042623;
0356 : 1180006F;
0357 : 000037B7;
0358 : 35C78513;
0359 : D18FF0EF;
035A : 000057B7;
035B : 9F878713;
035C : FEC42783;
035D : 00279793;
035E : 00F707B3;
035F : 0007A783;
0360 : 00078513;
0361 : CF8FF0EF;
0362 : 000057B7;
0363 : 9D078713;
0364 : FEC42783;
0365 : 00279793;
0366 : 00F707B3;
0367 : 0007A783;
0368 : 000780E7;
0369 : FCA42C23;
036A : FCB42E23;
036B : FD842703;
036C : FDC42783;
036D : FB040693;
036E : 00070593;
036F : 00078613;
0370 : 00068513;
0371 : DF8FF0EF;
0372 : FB040793;
0373 : 00078513;
0374 : CACFF0EF;
0375 : 000037B7;
0376 : 36078513;
0377 : CA0FF0EF;
0378 : FDC42703;
0379 : 06400793;
037A : 02F70733;
037B : FD842783;
037C : 00000693;
037D : 02D787B3;
037E : 00F706B3;
037F : FD842703;
0380 : 06400793;
0381 : 02F70633;
0382 : 02F739B3;
0383 : 00060913;
0384 : 013687B3;
0385 : 00078993;
0386 : FE042603;
0387 : FE442683;
0388 : 00090513;
0389 : 00098593;
038A : 331010EF;
038B : 00050713;
038C : 00058793;
038D : FCE42C23;
038E : FCF42E23;
038F : FD842703;
0390 : FDC42783;
0391 : FB040693;
0392 : 00070593;
0393 : 00078613;
0394 : 00068513;
0395 : D68FF0EF;
0396 : FB040793;
0397 : 00078513;
0398 : C1CFF0EF;
0399 : FEC42783;
039A : 00178793;
039B : FEF42623;
039C : FEC42703;
039D : 00900793;
039E : EEE7D2E3;
039F : 000037B7;
03A0 : 2E078513;
03A1 : BF8FF0EF;
03A2 : 00000013;
03A3 : 04C12083;
03A4 : 04812403;
03A5 : 04412903;
03A6 : 04012983;
03A7 : 05010113;
03A8 : 00008067;
03A9 : FE010113;
03AA : 00112E23;
03AB : 00812C23;
03AC : 02010413;
03AD : 000057B7;
03AE : EC878793;
03AF : FEF42223;
03B0 : 000067B7;
03B1 : EC878793;
03B2 : FEF42023;
03B3 : FE042423;
03B4 : 1A8010EF;
03B5 : FE042623;
03B6 : 0400006F;
03B7 : FE442783;
03B8 : 00478713;
03B9 : FEE42223;
03BA : 0007A703;
03BB : FE042783;
03BC : 00478693;
03BD : FED42023;
03BE : 0007A783;
03BF : 02F70733;
03C0 : FE842783;
03C1 : 00F707B3;
03C2 : FEF42423;
03C3 : FEC42783;
03C4 : 00178793;
03C5 : FEF42623;
03C6 : FEC42703;
03C7 : 3FF00793;
03C8 : FAE7DEE3;
03C9 : 160010EF;
03CA : E09FF0EF;
03CB : 14C010EF;
03CC : 00000013;
03CD : 01C12083;
03CE : 01812403;
03CF : 02010113;
03D0 : 00008067;
03D1 : FF010113;
03D2 : 00112623;
03D3 : 00812423;
03D4 : 01010413;
03D5 : 000037B7;
03D6 : 36478513;
03D7 : B20FF0EF;
03D8 : 000037B7;
03D9 : 38478513;
03DA : B14FF0EF;
03DB : 000037B7;
03DC : 3A878513;
03DD : B08FF0EF;
03DE : 000037B7;
03DF : 3D078513;
03E0 : AFCFF0EF;
03E1 : 000037B7;
03E2 : 40478513;
03E3 : AF0FF0EF;
03E4 : 00000013;
03E5 : 00C12083;
03E6 : 00812403;
03E7 : 01010113;
03E8 : 00008067;
03E9 : F2010113;
03EA : 0C112E23;
03EB : 0C812C23;
03EC : 0E010413;
03ED : 000037B7;
03EE : 41C78713;
03EF : FAC40793;
03F0 : 00070693;
03F1 : 04000713;
03F2 : 00070613;
03F3 : 00068593;
03F4 : 00078513;
03F5 : 685010EF;
03F6 : 000037B7;
03F7 : 45C78713;
03F8 : F6C40793;
03F9 : 00070693;
03FA : 04000713;
03FB : 00070613;
03FC : 00068593;
03FD : 00078513;
03FE : 661010EF;
03FF : 000037B7;
0400 : 49C78713;
0401 : F2C40793;
0402 : 00070693;
0403 : 04000713;
0404 : 00070613;
0405 : 00068593;
0406 : 00078513;
0407 : 63D010EF;
0408 : FE042623;
0409 : 05C0006F;
040A : FEC42783;
040B : 00279793;
040C : FF078793;
040D : 008787B3;
040E : FBC7A703;
040F : FEC42783;
0410 : 00279793;
0411 : FF078793;
0412 : 008787B3;
0413 : F7C7A783;
0414 : 00F70733;
0415 : FEC42783;
0416 : 00279793;
0417 : FF078793;
0418 : 008787B3;
0419 : F3C7A783;
041A : 00F70663;
041B : FEC42783;
041C : 0200006F;
041D : FEC42783;
041E : 00178793;
041F : FEF42623;
0420 : FEC42703;
0421 : 00F00793;
0422 : FAE7D0E3;
0423 : FFF00793;
0424 : 00078513;
0425 : 0DC12083;
0426 : 0D812403;
0427 : 0E010113;
0428 : 00008067;
0429 : F2010113;
042A : 0C112E23;
042B : 0C812C23;
042C : 0E010413;
042D : 000037B7;
042E : 41C78713;
042F : FAC40793;
0430 : 00070693;
0431 : 04000713;
0432 : 00070613;
0433 : 00068593;
0434 : 00078513;
0435 : 585010EF;
0436 : 000037B7;
0437 : 45C78713;
0438 : F6C40793;
0439 : 00070693;
043A : 04000713;
043B : 00070613;
043C : 00068593;
043D : 00078513;
043E : 561010EF;
043F : 000037B7;
0440 : 4DC78713;
0441 : F2C40793;
0442 : 00070693;
0443 : 04000713;
0444 : 00070613;
0445 : 00068593;
0446 : 00078513;
0447 : 53D010EF;
0448 : FE042623;
0449 : 05C0006F;
044A : FEC42783;
044B : 00279793;
044C : FF078793;
044D : 008787B3;
044E : FBC7A703;
044F : FEC42783;
0450 : 00279793;
0451 : FF078793;
0452 : 008787B3;
0453 : F7C7A783;
0454 : 40F70733;
0455 : FEC42783;
0456 : 00279793;
0457 : FF078793;
0458 : 008787B3;
0459 : F3C7A783;
045A : 00F70663;
045B : FEC42783;
045C : 0200006F;
045D : FEC42783;
045E : 00178793;
045F : FEF42623;
0460 : FEC42703;
0461 : 00F00793;
0462 : FAE7D0E3;
0463 : FFF00793;
0464 : 00078513;
0465 : 0DC12083;
0466 : 0D812403;
0467 : 0E010113;
0468 : 00008067;
0469 : F2010113;
046A : 0C112E23;
046B : 0C812C23;
046C : 0E010413;
046D : 000037B7;
046E : 41C78713;
046F : FAC40793;
0470 : 00070693;
0471 : 04000713;
0472 : 00070613;
0473 : 00068593;
0474 : 00078513;
0475 : 485010EF;
0476 : 000037B7;
0477 : 45C78713;
0478 : F6C40793;
0479 : 00070693;
047A : 04000713;
047B : 00070613;
047C : 00068593;
047D : 00078513;
047E : 461010EF;
047F : 000037B7;
0480 : 51C78713;
0481 : F2C40793;
0482 : 00070693;
0483 : 04000713;
0484 : 00070613;
0485 : 00068593;
0486 : 00078513;
0487 : 43D010EF;
0488 : FE042623;
0489 : 05C0006F;
048A : FEC42783;
048B : 00279793;
048C : FF078793;
048D : 008787B3;
048E : FBC7A703;
048F : FEC42783;
0490 : 00279793;
0491 : FF078793;
0492 : 008787B3;
0493 : F7C7A783;
0494 : 02F70733;
0495 : FEC42783;
0496 : 00279793;
0497 : FF078793;
0498 : 008787B3;
0499 : F3C7A783;
049A : 00F70663;
049B : FEC42783;
049C : 0200006F;
049D : FEC42783;
049E : 00178793;
049F : FEF42623;
04A0 : FEC42703;
04A1 : 00F00793;
04A2 : FAE7D0E3;
04A3 : FFF00793;
04A4 : 00078513;
04A5 : 0DC12083;
04A6 : 0D812403;
04A7 : 0E010113;
04A8 : 00008067;
04A9 : F2010113;
04AA : 0C112E23;
04AB : 0C812C23;
04AC : 0E010413;
04AD : 000037B7;
04AE : 41C78713;
04AF : FAC40793;
04B0 : 00070693;
04B1 : 04000713;
04B2 : 00070613;
04B3 : 00068593;
04B4 : 00078513;
04B5 : 385010EF;
04B6 : 000037B7;
04B7 : 45C78713;
04B8 : F6C40793;
04B9 : 00070693;
04BA : 04000713;
04BB : 00070613;
04BC : 00068593;
04BD : 00078513;
04BE : 361010EF;
04BF : 000037B7;
04C0 : 55C78713;
04C1 : F2C40793;
04C2 : 00070693;
04C3 : 04000713;
04C4 : 00070613;
04C5 : 00068593;
04C6 : 00078513;
04C7 : 33D010EF;
04C8 : FE042623;
04C9 : 05C0006F;
04CA : FEC42783;
04CB : 00279793;
04CC : FF078793;
04CD : 008787B3;
04CE : FBC7A703;
04CF : FEC42783;
04D0 : 00279793;
04D1 : FF078793;
04D2 : 008787B3;
04D3 : F7C7A783;
04D4 : 02F74733;
04D5 : FEC42783;
04D6 : 00279793;
04D7 : FF078793;
04D8 : 008787B3;
04D9 : F3C7A783;
04DA : 00F70663;
04DB : FEC42783;
04DC : 0200006F;
04DD : FEC42783;
04DE : 00178793;
04DF : FEF42623;
04E0 : FEC42703;
04E1 : 00F00793;
04E2 : FAE7D0E3;
04E3 : FFF00793;
04E4 : 00078513;
04E5 : 0DC12083;
04E6 : 0D812403;
04E7 : 0E010113;
04E8 : 00008067;
04E9 : F2010113;
04EA : 0C112E23;
04EB : 0C812C23;
04EC : 0E010413;
04ED : 000037B7;
04EE : 41C78713;
04EF : FAC40793;
04F0 : 00070693;
04F1 : 04000713;
04F2 : 00070613;
04F3 : 00068593;
04F4 : 00078513;
04F5 : 285010EF;
04F6 : 000037B7;
04F7 : 45C78713;
04F8 : F6C40793;
04F9 : 00070693;
04FA : 04000713;
04FB : 00070613;
04FC : 00068593;
04FD : 00078513;
04FE : 261010EF;
04FF : 000037B7;
0500 : 59C78713;
0501 : F2C40793;
0502 : 00070693;
0503 : 04000713;
0504 : 00070613;
0505 : 00068593;
0506 : 00078513;
0507 : 23D010EF;
0508 : FE042623;
0509 : 05C0006F;
050A : FEC42783;
050B : 00279793;
050C : FF078793;
050D : 008787B3;
050E : FBC7A703;
050F : FEC42783;
0510 : 00279793;
0511 : FF078793;
0512 : 008787B3;
0513 : F7C7A783;
0514 : 02F76733;
0515 : FEC42783;
0516 : 00279793;
0517 : FF078793;
0518 : 008787B3;
0519 : F3C7A783;
051A : 00F70663;
051B : FEC42783;
051C : 0200006F;
051D : FEC42783;
051E : 00178793;
051F : FEF42623;
0520 : FEC42703;
0521 : 00F00793;
0522 : FAE7D0E3;
0523 : FFF00793;
0524 : 00078513;
0525 : 0DC12083;
0526 : 0D812403;
0527 : 0E010113;
027F : 00078863;
0280 : FD842783;
0281 : 0007C783;
0282 : FA0790E3;
0283 : 00000793;
0284 : 00078513;
0285 : 02C12403;
0286 : 03010113;
0287 : 00008067;
0288 : EC010113;
0289 : 12112E23;
028A : 12812C23;
028B : 13212A23;
028C : 13312823;
028D : 13412623;
028E : 13512423;
028F : 14010413;
0290 : C381A783;
0291 : FF07F793;
0292 : FCF42A23;
0293 : FC042C23;
0294 : 1F80006F;
0295 : FD442783;
0296 : 00078913;
0297 : 00000993;
0298 : ECC40793;
0299 : 03000713;
029A : 00800693;
029B : 00090593;
029C : 00098613;
029D : 00078513;
029E : AA9FF0EF;
029F : ECC40713;
02A0 : 000017B7;
02A1 : 58878593;
02A2 : 00070513;
02A3 : E71FF0EF;
02A4 : FC042E23;
02A5 : 0C00006F;
02A6 : FD442783;
02A7 : FCF42623;
02A8 : FDC42703;
02A9 : FD442783;
02AA : 00F70733;
02AB : C381A783;
02AC : 00F77E63;
02AD : ECC40713;
02AE : 000017B7;
02AF : 58C78593;
02B0 : 00070513;
02B1 : E39FF0EF;
02B2 : 0600006F;
02B3 : FDC42783;
02B4 : FCC42703;
02B5 : 00F707B3;
02B6 : 0007C783;
02B7 : 00078A13;
02B8 : 00000A93;
02B9 : EC440793;
02BA : 03000713;
02BB : 00200693;
02BC : 000A0593;
02BD : 000A8613;
02BE : 00078513;
02BF : A25FF0EF;
02C0 : EC440713;
02C1 : 000017B7;
02C2 : 59078593;
02C3 : 00070513;
02C4 : DEDFF0EF;
02C5 : EC440713;
02C6 : ECC40793;
02C7 : 00070593;
02C8 : 00078513;
02C9 : DD9FF0EF;
02CA : FDC42703;
02CB : 00700793;
02CC : 00F71C63;
02CD : ECC40713;
02CE : 000017B7;
02CF : 59478593;
02D0 : 00070513;
02D1 : DB9FF0EF;
02D2 : FDC42783;
02D3 : 00178793;
02D4 : FCF42E23;
02D5 : FDC42703;
02D6 : 00F00793;
02D7 : F2E7DEE3;
02D8 : ECC40713;
02D9 : 000017B7;
02DA : 59878593;
02DB : 00070513;
02DC : D8DFF0EF;
02DD : FC042E23;
02DE : 0800006F;
02DF : FD442783;
02E0 : FCF42823;
02E1 : FDC42783;
02E2 : FD042703;
02E3 : 00F707B3;
02E4 : 0007C703;
02E5 : 01F00793;
02E6 : 02E7FA63;
02E7 : FDC42783;
02E8 : FD042703;
02E9 : 00F707B3;
02EA : 0007C703;
02EB : 07E00793;
02EC : 00E7EE63;
02ED : FDC42783;
02EE : FD042703;
02EF : 00F707B3;
02F0 : 0007C783;
02F1 : ECF40023;
02F2 : 00C0006F;
02F3 : 02E00793;
02F4 : ECF40023;
02F5 : EC0400A3;
02F6 : EC040713;
02F7 : ECC40793;
02F8 : 00070593;
02F9 : 00078513;
02FA : D15FF0EF;
02FB : FDC42783;
02FC : 00178793;
02FD : FCF42E23;
02FE : FDC42703;
02FF : 00F00793;
0300 : F6E7DEE3;
0301 : ECC40713;
0302 : 000017B7;
0303 : 59C78593;
0304 : 00070513;
0305 : CE9FF0EF;
0306 : ECC40793;
0307 : 00078513;
0308 : E3CFF0EF;
0309 : FD442783;
030A : 01078793;
030B : FCF42A23;
030C : FD442783;
030D : 0FF7F793;
030E : 02078063;
030F : FD842783;
0310 : 00178793;
0311 : FCF42C23;
0312 : FD842703;
0313 : 00F00793;
0314 : E0E7D2E3;
0315 : 0080006F;
0316 : 00000013;
0317 : 000017B7;
0318 : 5A078513;
0319 : DF8FF0EF;
031A : FD442703;
031B : C2E1AC23;
031C : 00000013;
031D : 13C12083;
031E : 13812403;
031F : 13412903;
0320 : 13012983;
0321 : 12C12A03;
0322 : 12812A83;
0323 : 14010113;
0324 : 00008067;
0325 : FF010113;
0326 : 00112623;
0327 : 00812423;
0328 : 01010413;
0329 : 000017B7;
032A : 5A478513;
032B : DB0FF0EF;
032C : 000017B7;
032D : 5C478513;
032E : DA4FF0EF;
032F : 000017B7;
0330 : 5E878513;
0331 : D98FF0EF;
0332 : 000017B7;
0333 : 61078513;
0334 : D8CFF0EF;
0335 : 000017B7;
0336 : 64478513;
0337 : D80FF0EF;
0338 : 00000013;
0339 : 00C12083;
033A : 00812403;
033B : 01010113;
033C : 00008067;
033D : E8010113;
033E : 16112E23;
033F : 16812C23;
0340 : 17212A23;
0341 : 17312823;
0342 : 17412623;
0343 : 17512423;
0344 : 18010413;
0345 : E8A42623;
0346 : E8B42423;
0347 : F00007B7;
0348 : FCF42C23;
0349 : F00007B7;
034A : 01078793;
034B : FCF42A23;
034C : C2C1A783;
034D : 01078793;
034E : 1B200713;
034F : 00E7A023;
0350 : FC042823;
0351 : FC042623;
0352 : BF4FF0EF;
0353 : 00050793;
0354 : 00078863;
0355 : 000017B7;
0356 : 65C78513;
0357 : D00FF0EF;
0358 : C18FF0EF;
0359 : 00050793;
035A : FE078CE3;
035B : E9440793;
035C : 0FF00593;
035D : 00078513;
035E : D4CFF0EF;
035F : 00000013;
0360 : 000017B7;
0361 : 66078513;
0362 : CD4FF0EF;
0363 : E9440793;
0364 : 00078513;
0365 : CC8FF0EF;
0366 : 000017B7;
0367 : 5A078513;
0368 : CBCFF0EF;
0369 : E9440713;
036A : 00400613;
036B : 000017B7;
036C : 66478593;
036D : 00070513;
036E : BD1FF0EF;
036F : 00050793;
0370 : 00079663;
0371 : ED1FF0EF;
0372 : 3000006F;
0373 : E9444703;
0374 : 06300793;
0375 : 00F71663;
0376 : 390000EF;
0377 : 2EC0006F;
0378 : E9444703;
0379 : 06200793;
037A : 04F71663;
037B : E9440793;
037C : 00278793;
037D : 00000593;
037E : 00078513;
037F : 8CDFF0EF;
0380 : FAA42823;
0381 : FB042783;
0382 : 02F05263;
0383 : 02FAF7B7;
0384 : 08078713;
0385 : FB042783;
0386 : 02F74733;
0387 : C2C1A783;
0388 : 01078793;
0389 : 00E7A023;
038A : 2A00006F;
038B : E69FF0EF;
038C : 2980006F;
038D : E9444703;
038E : 06400793;
038F : 02F71A63;
0390 : E9440793;
0391 : 00278793;
0392 : 00000593;
0393 : 00078513;
0394 : 975FF0EF;
0395 : FAA42A23;
0396 : FB442783;
0397 : 00F05663;
0398 : FB442703;
0399 : C2E1AC23;
039A : BB9FF0EF;
039B : 25C0006F;
039C : E9444703;
039D : 07700793;
039E : 0AF71C63;
039F : E9440793;
03A0 : 00278793;
03A1 : F9840713;
03A2 : 00070593;
03A3 : 00078513;
03A4 : 935FF0EF;
03A5 : FCA42023;
03A6 : F9842783;
03A7 : F9840713;
03A8 : 00070593;
03A9 : 00078513;
03AA : 91DFF0EF;
03AB : FAA42E23;
03AC : F9842783;
03AD : F9840713;
03AE : 00070593;
03AF : 00078513;
03B0 : 905FF0EF;
03B1 : FAA42C23;
03B2 : FB842703;
03B3 : 00100793;
03B4 : 00F71C63;
03B5 : FC042783;
03B6 : FBC42703;
03B7 : 0FF77713;
03B8 : 00E78023;
03B9 : 1E40006F;
03BA : FB842703;
03BB : 00200793;
03BC : 00F71E63;
03BD : FC042783;
03BE : FBC42703;
03BF : 01071713;
03C0 : 41075713;
03C1 : 00E79023;
03C2 : 1C00006F;
03C3 : FB842703;
03C4 : 00400793;
03C5 : 00F71A63;
03C6 : FC042783;
03C7 : FBC42703;
03C8 : 00E7A023;
03C9 : 1A40006F;
03CA : D6DFF0EF;
03CB : 19C0006F;
03CC : E9444703;
03CD : 07200793;
03CE : 18F71863;
03CF : FC042E23;
03D0 : E9440793;
03D1 : 00278793;
03D2 : F9440713;
03D3 : 00070593;
03D4 : 00078513;
03D5 : 871FF0EF;
03D6 : FCA42423;
03D7 : F9442783;
03D8 : F9440713;
03D9 : 00070593;
03DA : 00078513;
03DB : 859FF0EF;
03DC : FCA42223;
03DD : FC442703;
03DE : 00100793;
03DF : 02F71063;
03E0 : FC842783;
03E1 : 0007C783;
03E2 : FCF42E23;
03E3 : 000017B7;
03E4 : 66C78513;
03E5 : AC8FF0EF;
03E6 : 0580006F;
03E7 : FC442703;
03E8 : 00200793;
03E9 : 02F71063;
03EA : FC842783;
03EB : 00079783;
03EC : FCF42E23;
03ED : 000017B7;
03EE : 67478513;
03EF : AA0FF0EF;
03F0 : 0300006F;
03F1 : FC442703;
03F2 : 00400793;
03F3 : 02F71063;
03F4 : FC842783;
03F5 : 0007A783;
03F6 : FCF42E23;
03F7 : 000017B7;
03F8 : 67C78513;
03F9 : A78FF0EF;
03FA : 0080006F;
03FB : CA9FF0EF;
03FC : FC442703;
03FD : 00100793;
03FE : 00F70E63;
03FF : FC442703;
0400 : 00200793;
0401 : 00F70863;
0402 : FC442703;
0403 : 00400793;
0404 : 0AF71C63;
0405 : FC842783;
0406 : 00078913;
0407 : 41F7D793;
0408 : 00078993;
0409 : E9440793;
040A : 03000713;
040B : 00800693;
040C : 00090593;
040D : 00098613;
040E : 00078513;
040F : CE4FF0EF;
0410 : E9440793;
0411 : 00078513;
0412 : A14FF0EF;
0413 : 000017B7;
0414 : 68478513;
0415 : A08FF0EF;
0416 : E9440793;
0417 : FDC42583;
0418 : 00078513;
0419 : B38FF0EF;
041A : E9440793;
041B : 00078513;
041C : 9ECFF0EF;
041D : 000017B7;
041E : 68878513;
041F : 9E0FF0EF;
0420 : FDC42783;
0421 : 00078A13;
0422 : 41F7D793;
0423 : 00078A93;
0424 : FC442783;
0425 : 00179693;
0426 : E9440793;
0427 : 03000713;
0428 : 000A0593;
0429 : 000A8613;
042A : 00078513;
042B : C74FF0EF;
042C : E9440793;
042D : 00078513;
042E : 9A4FF0EF;
042F : 000017B7;
0430 : 68C78513;
0431 : 998FF0EF;
0432 : 00000013;
0433 : 870FF0EF;
0434 : 00050793;
0435 : FE078CE3;
0436 : C71FF06F;
0437 : 00004137;
0438 : C15FF06F;
0439 : C80025F3;
043A : C0002573;
043B : 00008067;
043C : C82025F3;
043D : C0202573;
043E : 00008067;
043F : CA0025F3;
0440 : C2002573;
0441 : 00008067;
0442 : CA1025F3;
0443 : C2102573;
0444 : 00008067;
0445 : CA2025F3;
0446 : C2202573;
0447 : 00008067;
0448 : CA3025F3;
0449 : C2302573;
044A : 00008067;
044B : CA4025F3;
044C : C2402573;
044D : 00008067;
044E : CA5025F3;
044F : C2502573;
0450 : 00008067;
0451 : CA6025F3;
0452 : C2602573;
0453 : 00008067;
0454 : CA7025F3;
0455 : C2702573;
0456 : 00008067;
0457 : CA8025F3;
0458 : C2802573;
0459 : 00008067;
045A : CC0025F3;
045B : C4002573;
045C : 00008067;
045D : CC1025F3;
045E : C4102573;
045F : 00008067;
0460 : FF010113;
0461 : 00000593;
0462 : 00812423;
0463 : 00112623;
0464 : 00050413;
0465 : 194000EF;
0466 : C281A503;
0467 : 03C52783;
0468 : 00078463;
0469 : 000780E7;
046A : 00040513;
046B : 3A4000EF;
046C : FF010113;
046D : 00812423;
046E : 01212023;
046F : 00002437;
0470 : 00002937;
0471 : 69440793;
0472 : 69490913;
0473 : 40F90933;
0474 : 00112623;
0475 : 00912223;
0476 : 40295913;
0477 : 02090063;
0478 : 69440413;
0479 : 00000493;
047A : 00042783;
047B : 00148493;
047C : 00440413;
047D : 000780E7;
047E : FE9918E3;
047F : 00002437;
0480 : 00002937;
0481 : 69440793;
0482 : 69C90913;
0483 : 40F90933;
0484 : 40295913;
0485 : 02090063;
0486 : 69440413;
0487 : 00000493;
0488 : 00042783;
0489 : 00148493;
048A : 00440413;
048B : 000780E7;
048C : FE9918E3;
048D : 00C12083;
048E : 00812403;
048F : 00412483;
0490 : 00012903;
0491 : 01010113;
0492 : 00008067;
0493 : 00F00313;
0494 : 00050713;
0495 : 02C37E63;
0496 : 00F77793;
0497 : 0A079063;
0498 : 08059263;
0499 : FF067693;
049A : 00F67613;
049B : 00E686B3;
049C : 00B72023;
049D : 00B72223;
049E : 00B72423;
049F : 00B72623;
04A0 : 01070713;
04A1 : FED766E3;
04A2 : 00061463;
04A3 : 00008067;
04A4 : 40C306B3;
04A5 : 00269693;
04A6 : 00000297;
04A7 : 005686B3;
04A8 : 00C68067;
04A9 : 00B70723;
04AA : 00B706A3;
04AB : 00B70623;
04AC : 00B705A3;
04AD : 00B70523;
04AE : 00B704A3;
04AF : 00B70423;
04B0 : 00B703A3;
04B1 : 00B70323;
04B2 : 00B702A3;
04B3 : 00B70223;
04B4 : 00B701A3;
04B5 : 00B70123;
04B6 : 00B700A3;
04B7 : 00B70023;
04B8 : 00008067;
04B9 : 0FF5F593;
04BA : 00859693;
04BB : 00D5E5B3;
04BC : 01059693;
04BD : 00D5E5B3;
04BE : F6DFF06F;
04BF : 00279693;
04C0 : 00000297;
04C1 : 005686B3;
04C2 : 00008293;
04C3 : FA0680E7;
04C4 : 00028093;
04C5 : FF078793;
04C6 : 40F70733;
04C7 : 00F60633;
04C8 : F6C378E3;
04C9 : F3DFF06F;
04CA : FD010113;
04CB : 01412C23;
04CC : C281AA03;
04CD : 03212023;
04CE : 02112623;
04CF : 148A2903;
04D0 : 02812423;
04D1 : 02912223;
04D2 : 01312E23;
04D3 : 01512A23;
04D4 : 01612823;
04D5 : 01712623;
04D6 : 01812423;
04D7 : 04090063;
04D8 : 00050B13;
04D9 : 00058B93;
04DA : 00100A93;
04DB : FFF00993;
04DC : 00492483;
04DD : FFF48413;
04DE : 02044263;
04DF : 00249493;
04E0 : 009904B3;
04E1 : 040B8463;
04E2 : 1044A783;
04E3 : 05778063;
04E4 : FFF40413;
04E5 : FFC48493;
04E6 : FF3416E3;
04E7 : 02C12083;
04E8 : 02812403;
04E9 : 02412483;
04EA : 02012903;
04EB : 01C12983;
04EC : 01812A03;
04ED : 01412A83;
04EE : 01012B03;
04EF : 00C12B83;
04F0 : 00812C03;
04F1 : 03010113;
04F2 : 00008067;
04F3 : 00492783;
04F4 : 0044A683;
04F5 : FFF78793;
04F6 : 04878E63;
04F7 : 0004A223;
04F8 : FA0688E3;
04F9 : 18892783;
04FA : 008A9733;
04FB : 00492C03;
04FC : 00F777B3;
04FD : 02079263;
04FE : 000680E7;
04FF : 00492703;
0500 : 148A2783;
0501 : 01871463;
0502 : F92784E3;
0503 : F80788E3;
0504 : 00078913;
0505 : F5DFF06F;
0506 : 18C92783;
0507 : 0844A583;
0508 : 00F77733;
0509 : 00071C63;
050A : 000B0513;
050B : 000680E7;
050C : FCDFF06F;
050D : 00892223;
050E : FA9FF06F;
050F : 00058513;
0510 : 000680E7;
0511 : FB9FF06F;
0512 : FF010113;
0513 : 00812423;
0514 : 000027B7;
0515 : 00002437;
0516 : 69C78793;
0517 : 6A040413;
0518 : 40F40433;
0519 : 00912223;
051A : 00112623;
051B : 40245493;
051C : 02048063;
051D : FFC40413;
051E : 00F40433;
051F : 00042783;
0520 : FFF48493;
0521 : FFC40413;
0522 : 000780E7;
0523 : FE0498E3;
0524 : 00C12083;
0525 : 00812403;
0526 : 00412483;
0527 : 01010113;
0528 : 00008067;
0529 : F2010113;
052A : 0C112E23;
052B : 0C812C23;
052C : 0E010413;
052D : 000037B7;
052E : 5DC78713;
052F : FAC40793;
0530 : 00070693;
0531 : 04000713;
0532 : 00070613;
0533 : 00068593;
0534 : 00078513;
0535 : 185010EF;
0536 : 000037B7;
0537 : 61C78713;
0538 : F6C40793;
0539 : 00070693;
053A : 04000713;
053B : 00070613;
053C : 00068593;
053D : 00078513;
053E : 161010EF;
053F : 000037B7;
0540 : 65C78713;
0541 : F2C40793;
0542 : 00070693;
0543 : 04000713;
0544 : 00070613;
0545 : 00068593;
0546 : 00078513;
0547 : 13D010EF;
0548 : FE042623;
0549 : 05C0006F;
054A : FEC42783;
054B : 00279793;
054C : FF078793;
054D : 008787B3;
054E : FBC7A703;
054F : FEC42783;
0550 : 00279793;
0551 : FF078793;
0552 : 008787B3;
0553 : F7C7A783;
0554 : 00F70733;
0555 : FEC42783;
0556 : 00279793;
0557 : FF078793;
0558 : 008787B3;
0559 : F3C7A783;
055A : 00F70663;
055B : FEC42783;
055C : 0200006F;
055D : FEC42783;
055E : 00178793;
055F : FEF42623;
0560 : FEC42703;
0561 : 00F00793;
0562 : FAE7D0E3;
0563 : FFF00793;
0564 : 00078513;
0565 : 0DC12083;
0566 : 0D812403;
0567 : 0E010113;
0568 : 00008067;
0569 : F2010113;
056A : 0C112E23;
056B : 0C812C23;
056C : 0E010413;
056D : 000037B7;
056E : 5DC78713;
056F : FAC40793;
0570 : 00070693;
0571 : 04000713;
0572 : 00070613;
0573 : 00068593;
0574 : 00078513;
0575 : 085010EF;
0576 : 000037B7;
0577 : 61C78713;
0578 : F6C40793;
0579 : 00070693;
057A : 04000713;
057B : 00070613;
057C : 00068593;
057D : 00078513;
057E : 061010EF;
057F : 000037B7;
0580 : 69C78713;
0581 : F2C40793;
0582 : 00070693;
0583 : 04000713;
0584 : 00070613;
0585 : 00068593;
0586 : 00078513;
0587 : 03D010EF;
0588 : FE042623;
0589 : 05C0006F;
058A : FEC42783;
058B : 00279793;
058C : FF078793;
058D : 008787B3;
058E : FBC7A703;
058F : FEC42783;
0590 : 00279793;
0591 : FF078793;
0592 : 008787B3;
0593 : F7C7A783;
0594 : 40F70733;
0595 : FEC42783;
0596 : 00279793;
0597 : FF078793;
0598 : 008787B3;
0599 : F3C7A783;
059A : 00F70663;
059B : FEC42783;
059C : 0200006F;
059D : FEC42783;
059E : 00178793;
059F : FEF42623;
05A0 : FEC42703;
05A1 : 00F00793;
05A2 : FAE7D0E3;
05A3 : FFF00793;
05A4 : 00078513;
05A5 : 0DC12083;
05A6 : 0D812403;
05A7 : 0E010113;
05A8 : 00008067;
05A9 : F2010113;
05AA : 0C112E23;
05AB : 0C812C23;
05AC : 0E010413;
05AD : 000037B7;
05AE : 5DC78713;
05AF : FAC40793;
05B0 : 00070693;
05B1 : 04000713;
05B2 : 00070613;
05B3 : 00068593;
05B4 : 00078513;
05B5 : 784010EF;
05B6 : 000037B7;
05B7 : 61C78713;
05B8 : F6C40793;
05B9 : 00070693;
05BA : 04000713;
05BB : 00070613;
05BC : 00068593;
05BD : 00078513;
05BE : 760010EF;
05BF : 000037B7;
05C0 : 6DC78713;
05C1 : F2C40793;
05C2 : 00070693;
05C3 : 04000713;
05C4 : 00070613;
05C5 : 00068593;
05C6 : 00078513;
05C7 : 73C010EF;
05C8 : FE042623;
05C9 : 05C0006F;
05CA : FEC42783;
05CB : 00279793;
05CC : FF078793;
05CD : 008787B3;
05CE : FBC7A703;
05CF : FEC42783;
05D0 : 00279793;
05D1 : FF078793;
05D2 : 008787B3;
05D3 : F7C7A783;
05D4 : 02F70733;
05D5 : FEC42783;
05D6 : 00279793;
05D7 : FF078793;
05D8 : 008787B3;
05D9 : F3C7A783;
05DA : 00F70663;
05DB : FEC42783;
05DC : 0200006F;
05DD : FEC42783;
05DE : 00178793;
05DF : FEF42623;
05E0 : FEC42703;
05E1 : 00F00793;
05E2 : FAE7D0E3;
05E3 : FFF00793;
05E4 : 00078513;
05E5 : 0DC12083;
05E6 : 0D812403;
05E7 : 0E010113;
05E8 : 00008067;
05E9 : F2010113;
05EA : 0C112E23;
05EB : 0C812C23;
05EC : 0E010413;
05ED : 000037B7;
05EE : 5DC78713;
05EF : FAC40793;
05F0 : 00070693;
05F1 : 04000713;
05F2 : 00070613;
05F3 : 00068593;
05F4 : 00078513;
05F5 : 684010EF;
05F6 : 000037B7;
05F7 : 61C78713;
05F8 : F6C40793;
05F9 : 00070693;
05FA : 04000713;
05FB : 00070613;
05FC : 00068593;
05FD : 00078513;
05FE : 660010EF;
05FF : 000037B7;
0600 : 71C78713;
0601 : F2C40793;
0602 : 00070693;
0603 : 04000713;
0604 : 00070613;
0605 : 00068593;
0606 : 00078513;
0607 : 63C010EF;
0608 : FE042623;
0609 : 05C0006F;
060A : FEC42783;
060B : 00279793;
060C : FF078793;
060D : 008787B3;
060E : FBC7A703;
060F : FEC42783;
0610 : 00279793;
0611 : FF078793;
0612 : 008787B3;
0613 : F7C7A783;
0614 : 02F75733;
0615 : FEC42783;
0616 : 00279793;
0617 : FF078793;
0618 : 008787B3;
0619 : F3C7A783;
061A : 00F70663;
061B : FEC42783;
061C : 0200006F;
061D : FEC42783;
061E : 00178793;
061F : FEF42623;
0620 : FEC42703;
0621 : 00F00793;
0622 : FAE7D0E3;
0623 : FFF00793;
0624 : 00078513;
0625 : 0DC12083;
0626 : 0D812403;
0627 : 0E010113;
0628 : 00008067;
0629 : F2010113;
062A : 0C112E23;
062B : 0C812C23;
062C : 0E010413;
062D : 000037B7;
062E : 5DC78713;
062F : FAC40793;
0630 : 00070693;
0631 : 04000713;
0632 : 00070613;
0633 : 00068593;
0634 : 00078513;
0635 : 584010EF;
0636 : 000037B7;
0637 : 61C78713;
0638 : F6C40793;
0639 : 00070693;
063A : 04000713;
063B : 00070613;
063C : 00068593;
063D : 00078513;
063E : 560010EF;
063F : 000037B7;
0640 : 75C78713;
0641 : F2C40793;
0642 : 00070693;
0643 : 04000713;
0644 : 00070613;
0645 : 00068593;
0646 : 00078513;
0647 : 53C010EF;
0648 : FE042623;
0649 : 05C0006F;
064A : FEC42783;
064B : 00279793;
064C : FF078793;
064D : 008787B3;
064E : FBC7A703;
064F : FEC42783;
0650 : 00279793;
0651 : FF078793;
0652 : 008787B3;
0653 : F7C7A783;
0654 : 02F77733;
0655 : FEC42783;
0656 : 00279793;
0657 : FF078793;
0658 : 008787B3;
0659 : F3C7A783;
065A : 00F70663;
065B : FEC42783;
065C : 0200006F;
065D : FEC42783;
065E : 00178793;
065F : FEF42623;
0660 : FEC42703;
0661 : 00F00793;
0662 : FAE7D0E3;
0663 : FFF00793;
0664 : 00078513;
0665 : 0DC12083;
0666 : 0D812403;
0667 : 0E010113;
0668 : 00008067;
0669 : FD010113;
066A : 02112623;
066B : 02812423;
066C : 03212223;
066D : 03312023;
066E : 03010413;
066F : 000047B7;
0670 : 81478513;
0671 : 8B9FE0EF;
0672 : FE042623;
0673 : 0A80006F;
0674 : 000057B7;
0675 : A4878713;
0676 : FEC42783;
0677 : 00279793;
0678 : 00F707B3;
0679 : 0007A783;
067A : 00078513;
067B : 891FE0EF;
067C : 000057B7;
067D : A2078713;
067E : FEC42783;
067F : 00279793;
0680 : 00F707B3;
0681 : 0007A783;
0682 : 000780E7;
0683 : FEA42423;
0684 : FE842703;
0685 : FFF00793;
0686 : 00F71A63;
0687 : 000047B7;
0688 : 82078513;
0689 : 859FE0EF;
068A : 0400006F;
068B : FE842783;
068C : 00078913;
068D : 41F7D793;
068E : 00078993;
068F : FDC40793;
0690 : 00090593;
0691 : 00098613;
0692 : 00078513;
0693 : 971FE0EF;
0694 : 000047B7;
0695 : 82878513;
0696 : 825FE0EF;
0697 : FDC40793;
0698 : 00078513;
0699 : 819FE0EF;
069A : FEC42783;
069B : 00178793;
069C : FEF42623;
069D : FEC42703;
069E : 00900793;
069F : F4E7DAE3;
06A0 : 000037B7;
06A1 : 2E078513;
06A2 : FF4FE0EF;
06A3 : 00000013;
06A4 : 02C12083;
06A5 : 02812403;
06A6 : 02412903;
06A7 : 02012983;
06A8 : 03010113;
06A9 : 00008067;
06AA : E7010113;
06AB : 18112623;
06AC : 18812423;
06AD : 19212223;
06AE : 19312023;
06AF : 17412E23;
06B0 : 17512C23;
06B1 : 17612A23;
06B2 : 17712823;
06B3 : 17812623;
06B4 : 17912423;
06B5 : 17A12223;
06B6 : 17B12023;
06B7 : 19010413;
06B8 : E6A42E23;
06B9 : E6B42C23;
06BA : F00007B7;
06BB : FCF42423;
06BC : F00007B7;
06BD : 01078793;
06BE : FCF42223;
06BF : 000057B7;
06C0 : E9C7A783;
06C1 : 01078793;
06C2 : 1B200713;
06C3 : 00E7A023;
06C4 : FC042023;
06C5 : FA042E23;
06C6 : 4DC000EF;
06C7 : 00050913;
06C8 : 00058993;
06C9 : 4DC000EF;
06CA : 00050713;
06CB : 00058793;
06CC : 06400613;
06CD : 00000693;
06CE : 00070513;
06CF : 00078593;
06D0 : 619000EF;
06D1 : 00050713;
06D2 : 00058793;
06D3 : 00070613;
06D4 : 00078693;
06D5 : 00090513;
06D6 : 00098593;
06D7 : 5FD000EF;
06D8 : 00050713;
06D9 : 00058793;
06DA : FAE42C23;
06DB : DD8FE0EF;
06DC : 00050793;
06DD : 0A078863;
06DE : 47C000EF;
06DF : E8040793;
06E0 : 03000713;
06E1 : 00800693;
06E2 : 00058613;
06E3 : 00050593;
06E4 : 00078513;
06E5 : A29FE0EF;
06E6 : E8040793;
06E7 : 00078513;
06E8 : EDCFE0EF;
06E9 : 000047B7;
06EA : 83478513;
06EB : ED0FE0EF;
06EC : 450000EF;
06ED : E8040793;
06EE : 03000713;
06EF : 00800693;
06F0 : 00058613;
06F1 : 00050593;
06F2 : 00078513;
06F3 : 9F1FE0EF;
06F4 : E8040793;
06F5 : 00078513;
06F6 : EA4FE0EF;
06F7 : 000047B7;
06F8 : 83478513;
06F9 : E98FE0EF;
06FA : FB842783;
06FB : 00078A13;
06FC : 41F7D793;
06FD : 00078A93;
06FE : E8040793;
06FF : 000A0593;
0700 : 000A8613;
0701 : 00078513;
0702 : FB4FE0EF;
0703 : E8040793;
0704 : 00078513;
0705 : E68FE0EF;
0706 : 000047B7;
0707 : 83878513;
0708 : E5CFE0EF;
0709 : D60FE0EF;
070A : 00050793;
070B : FE078CE3;
070C : E8040793;
070D : 0FF00593;
070E : 00078513;
070F : EA8FE0EF;
0710 : 00000013;
0711 : 000047B7;
0712 : 83C78513;
0713 : E30FE0EF;
0714 : E8040793;
0715 : 00078513;
0716 : E24FE0EF;
0717 : 000037B7;
0718 : 2E078513;
0719 : E18FE0EF;
071A : E8040713;
071B : 00400613;
071C : 000047B7;
071D : 84078593;
071E : 00070513;
071F : DA9FE0EF;
0720 : 00050793;
0721 : 00079663;
0722 : ABCFF0EF;
0723 : 3540006F;
0724 : E8044703;
0725 : 06300793;
0726 : 00F71663;
0727 : 3DC000EF;
0728 : 3400006F;
0729 : E8044703;
072A : 06C00793;
072B : 00F71663;
072C : 880FF0EF;
072D : 32C0006F;
072E : E8044703;
072F : 07400793;
0730 : 00F71663;
0731 : CE1FF0EF;
0732 : 3180006F;
0733 : E8044703;
0734 : 06D00793;
0735 : 00F71663;
0736 : 9CCFF0EF;
0737 : 3040006F;
0738 : E8044703;
0739 : 06200793;
073A : 04F71863;
073B : E8040793;
073C : 00278793;
073D : 00000593;
073E : 00078513;
073F : A69FE0EF;
0740 : F8A42E23;
0741 : F9C42783;
0742 : 02F05463;
0743 : 02FAF7B7;
0744 : 08078713;
0745 : F9C42783;
0746 : 02F74733;
0747 : 000057B7;
0748 : E9C7A783;
0749 : 01078793;
074A : 00E7A023;
074B : 2B40006F;
074C : A14FF0EF;
074D : 2AC0006F;
074E : E8044703;
074F : 06400793;
0750 : 02F71A63;
0751 : E8040793;
0752 : 00278793;
0753 : 00000593;
0754 : 00078513;
0755 : B0DFE0EF;
0756 : FAA42023;
0757 : FA042783;
0758 : 00F05663;
0759 : FA042703;
075A : 80E1A823;
075B : D51FE0EF;
075C : 2700006F;
075D : E8044703;
075E : 07700793;
075F : 0AF71C63;
0760 : E8040793;
0761 : 00278793;
0762 : F8440713;
0763 : 00070593;
0764 : 00078513;
0765 : ACDFE0EF;
0766 : FAA42623;
0767 : F8442783;
0768 : F8440713;
0769 : 00070593;
076A : 00078513;
076B : AB5FE0EF;
076C : FAA42423;
076D : F8442783;
076E : F8440713;
076F : 00070593;
0770 : 00078513;
0771 : A9DFE0EF;
0772 : FAA42223;
0773 : FA442703;
0774 : 00100793;
0775 : 00F71C63;
0776 : FAC42783;
0777 : FA842703;
0778 : 0FF77713;
0779 : 00E78023;
077A : 1F80006F;
077B : FA442703;
077C : 00200793;
077D : 00F71E63;
077E : FAC42783;
077F : FA842703;
0780 : 01071713;
0781 : 41075713;
0782 : 00E79023;
0783 : 1D40006F;
0784 : FA442703;
0785 : 00400793;
0786 : 00F71A63;
0787 : FAC42783;
0788 : FA842703;
0789 : 00E7A023;
078A : 1B80006F;
078B : 918FF0EF;
078C : 1B00006F;
078D : E8044703;
078E : 07200793;
078F : 1AF71263;
0790 : FC042623;
0791 : E8040793;
0792 : 00278793;
0793 : F8040713;
0794 : 00070593;
0795 : 00078513;
0796 : A09FE0EF;
0797 : FAA42A23;
0798 : F8042783;
0799 : F8040713;
079A : 00070593;
079B : 00078513;
079C : 9F1FE0EF;
079D : FAA42823;
079E : FB042703;
079F : 00100793;
07A0 : 02F71063;
07A1 : FB442783;
07A2 : 0007C783;
07A3 : FCF42623;
07A4 : 000047B7;
07A5 : 84878513;
07A6 : BE4FE0EF;
07A7 : 0580006F;
07A8 : FB042703;
07A9 : 00200793;
07AA : 02F71063;
07AB : FB442783;
07AC : 00079783;
07AD : FCF42623;
07AE : 000047B7;
07AF : 85078513;
07B0 : BBCFE0EF;
07B1 : 0300006F;
07B2 : FB042703;
07B3 : 00400793;
07B4 : 02F71063;
07B5 : FB442783;
07B6 : 0007A783;
07B7 : FCF42623;
07B8 : 000047B7;
07B9 : 85878513;
07BA : B94FE0EF;
07BB : 0080006F;
07BC : 854FF0EF;
07BD : FB042703;
07BE : 00100793;
07BF : 00F70E63;
07C0 : FB042703;
07C1 : 00200793;
07C2 : 00F70863;
07C3 : FB042703;
07C4 : 00400793;
07C5 : 0CF71663;
07C6 : FB442783;
07C7 : 00078B13;
07C8 : 41F7D793;
07C9 : 00078B93;
07CA : E8040793;
07CB : 03000713;
07CC : 00800693;
07CD : 000B0593;
07CE : 000B8613;
07CF : 00078513;
07D0 : E7CFE0EF;
07D1 : E8040793;
07D2 : 00078513;
07D3 : B30FE0EF;
07D4 : 000047B7;
07D5 : 86078513;
07D6 : B24FE0EF;
07D7 : FCC42783;
07D8 : 00078C13;
07D9 : 41F7D793;
07DA : 00078C93;
07DB : E8040793;
07DC : 000C0593;
07DD : 000C8613;
07DE : 00078513;
07DF : C40FE0EF;
07E0 : E8040793;
07E1 : 00078513;
07E2 : AF4FE0EF;
07E3 : 000047B7;
07E4 : 86478513;
07E5 : AE8FE0EF;
07E6 : FCC42783;
07E7 : 00078D13;
07E8 : 41F7D793;
07E9 : 00078D93;
07EA : FB042783;
07EB : 00179693;
07EC : E8040793;
07ED : 03000713;
07EE : 000D0593;
07EF : 000D8613;
07F0 : 00078513;
07F1 : DF8FE0EF;
07F2 : E8040793;
07F3 : 00078513;
07F4 : AACFE0EF;
07F5 : 000047B7;
07F6 : 86878513;
07F7 : AA0FE0EF;
07F8 : 00000013;
07F9 : 960FE0EF;
07FA : 00050793;
07FB : FE078CE3;
07FC : B29FF06F;
07FD : C80025F3;
07FE : C0002573;
07FF : 00008067;
0800 : C82025F3;
0801 : C0202573;
0802 : 00008067;
0803 : CA0025F3;
0804 : C2002573;
0805 : 00008067;
0806 : CA1025F3;
0807 : C2102573;
0808 : 00008067;
0809 : CA2025F3;
080A : C2202573;
080B : 00008067;
080C : CA3025F3;
080D : C2302573;
080E : 00008067;
080F : CA4025F3;
0810 : C2402573;
0811 : 00008067;
0812 : CA5025F3;
0813 : C2502573;
0814 : 00008067;
0815 : CA6025F3;
0816 : C2602573;
0817 : 00008067;
0818 : CA7025F3;
0819 : C2702573;
081A : 00008067;
081B : CA8025F3;
081C : C2802573;
081D : 00008067;
081E : CC0025F3;
081F : C4002573;
0820 : 00008067;
0821 : CC1025F3;
0822 : C4102573;
0823 : 00008067;
0824 : 00050313;
0825 : 00058E13;
0826 : 00000893;
0827 : 0005DC63;
0828 : 00A037B3;
0829 : 40B00E33;
082A : 40FE0E33;
082B : 40A00333;
082C : FFF00893;
082D : 0006DC63;
082E : 00C037B3;
082F : 40D006B3;
0830 : FFF8C893;
0831 : 40F686B3;
0832 : 40C00633;
0833 : 00060713;
0834 : 00030813;
0835 : 000E0793;
0836 : 28069C63;
0837 : 000045B7;
0838 : 86C58593;
0839 : 0ECE7663;
083A : 000106B7;
083B : 0CD67863;
083C : 10063693;
083D : 0016C693;
083E : 00369693;
083F : 00D65533;
0840 : 00A585B3;
0841 : 0005C583;
0842 : 02000513;
0843 : 00D586B3;
0844 : 40D505B3;
0845 : 00D50C63;
0846 : 00BE17B3;
0847 : 00D356B3;
0848 : 00B61733;
0849 : 00F6E7B3;
084A : 00B31833;
084B : 01075593;
084C : 02B7DE33;
084D : 01071613;
084E : 01065613;
084F : 02B7F7B3;
0850 : 000E0513;
0851 : 03C60333;
0852 : 01079693;
0853 : 01085793;
0854 : 00D7E7B3;
0855 : 0067FE63;
0856 : 00E787B3;
0857 : FFFE0513;
0858 : 00E7E863;
0859 : 0067F663;
085A : FFEE0513;
085B : 00E787B3;
085C : 406787B3;
085D : 02B7D333;
085E : 01081813;
085F : 01085813;
0860 : 02B7F7B3;
0861 : 026606B3;
0862 : 01079793;
0863 : 00F86833;
0864 : 00030793;
0865 : 00D87C63;
0866 : 01070833;
0867 : FFF30793;
0868 : 00E86663;
0869 : 00D87463;
086A : FFE30793;
086B : 01051513;
086C : 00F56533;
086D : 00000593;
086E : 0E00006F;
086F : 01000537;
0870 : 01000693;
0871 : F2A66CE3;
0872 : 01800693;
0873 : F31FF06F;
0874 : 00061463;
0875 : 00100073;
0876 : 000107B7;
0877 : 0CF67A63;
0878 : 10063693;
0879 : 0016C693;
087A : 00369693;
087B : 00D657B3;
087C : 00F585B3;
087D : 0005C783;
087E : 00D787B3;
087F : 02000693;
0880 : 40F685B3;
0881 : 0CF69063;
0882 : 40CE07B3;
0883 : 00100593;
0884 : 01075313;
0885 : 0267DEB3;
0886 : 01071613;
0887 : 01065613;
0888 : 01085693;
0889 : 0267F7B3;
088A : 000E8513;
088B : 03D60E33;
088C : 01079793;
088D : 00F6E7B3;
088E : 01C7FE63;
088F : 00E787B3;
0890 : FFFE8513;
0891 : 00E7E863;
0892 : 01C7F663;
0893 : FFEE8513;
0894 : 00E787B3;
0895 : 41C787B3;
0896 : 0267DE33;
0897 : 01081813;
0898 : 01085813;
0899 : 0267F7B3;
089A : 03C606B3;
089B : 01079793;
089C : 00F86833;
089D : 000E0793;
089E : 00D87C63;
089F : 01070833;
08A0 : FFFE0793;
08A1 : 00E86663;
08A2 : 00D87463;
08A3 : FFEE0793;
08A4 : 01051513;
08A5 : 00F56533;
08A6 : 00088A63;
08A7 : 00A037B3;
08A8 : 40B005B3;
08A9 : 40F585B3;
08AA : 40A00533;
08AB : 00008067;
08AC : 010007B7;
08AD : 01000693;
08AE : F2F66AE3;
08AF : 01800693;
08B0 : F2DFF06F;
08B1 : 00B61733;
08B2 : 00FE56B3;
08B3 : 01075513;
08B4 : 00BE1E33;
08B5 : 00F357B3;
08B6 : 01C7E7B3;
08B7 : 02A6DE33;
08B8 : 01071613;
08B9 : 01065613;
08BA : 00B31833;
08BB : 02A6F6B3;
08BC : 03C60333;
08BD : 01069593;
08BE : 0107D693;
08BF : 00B6E6B3;
08C0 : 000E0593;
08C1 : 0066FE63;
08C2 : 00E686B3;
08C3 : FFFE0593;
08C4 : 00E6E863;
08C5 : 0066F663;
08C6 : FFEE0593;
08C7 : 00E686B3;
08C8 : 406686B3;
08C9 : 02A6D333;
08CA : 01079793;
08CB : 0107D793;
08CC : 02A6F6B3;
08CD : 02660633;
08CE : 01069693;
08CF : 00D7E7B3;
08D0 : 00030693;
08D1 : 00C7FE63;
08D2 : 00E787B3;
08D3 : FFF30693;
08D4 : 00E7E863;
08D5 : 00C7F663;
08D6 : FFE30693;
08D7 : 00E787B3;
08D8 : 01059593;
08D9 : 40C787B3;
08DA : 00D5E5B3;
08DB : EA5FF06F;
08DC : 18DE6663;
08DD : 000107B7;
08DE : 04F6F463;
08DF : 1006B713;
08E0 : 00174713;
08E1 : 00371713;
08E2 : 000047B7;
08E3 : 00E6D5B3;
08E4 : 86C78793;
08E5 : 00B787B3;
08E6 : 0007C783;
08E7 : 00E787B3;
08E8 : 02000713;
08E9 : 40F705B3;
08EA : 02F71663;
08EB : 00100513;
08EC : EFC6E4E3;
08ED : 00C33533;
08EE : 00154513;
08EF : EDDFF06F;
08F0 : 010007B7;
08F1 : 01000713;
08F2 : FCF6E0E3;
08F3 : 01800713;
08F4 : FB9FF06F;
08F5 : 00F65733;
08F6 : 00B696B3;
08F7 : 00D766B3;
08F8 : 00FE5733;
08F9 : 00BE1E33;
08FA : 00F357B3;
08FB : 01C7E7B3;
08FC : 0106DE13;
08FD : 03C75F33;
08FE : 01069813;
08FF : 01085813;
0900 : 00B61633;
0901 : 03C77733;
0902 : 03E80EB3;
0903 : 01071513;
0904 : 0107D713;
0905 : 00A76733;
0906 : 000F0513;
0907 : 01D77E63;
0908 : 00D70733;
0909 : FFFF0513;
090A : 00D76863;
090B : 01D77663;
090C : FFEF0513;
090D : 00D70733;
090E : 41D70733;
090F : 03C75EB3;
0910 : 01079793;
0911 : 0107D793;
0912 : 03C77733;
0913 : 03D80833;
0914 : 01071713;
0915 : 00E7E7B3;
0916 : 000E8713;
0917 : 0107FE63;
0918 : 00D787B3;
0919 : FFFE8713;
091A : 00D7E863;
091B : 0107F663;
091C : FFEE8713;
091D : 00D787B3;
091E : 01051513;
091F : 00010EB7;
0920 : 00E56533;
0921 : FFFE8693;
0922 : 00D57733;
0923 : 410787B3;
0924 : 00D676B3;
0925 : 01055813;
0926 : 01065613;
0927 : 02D70E33;
0928 : 02D806B3;
0929 : 02C70733;
092A : 02C80833;
092B : 00D70633;
092C : 010E5713;
092D : 00C70733;
092E : 00D77463;
092F : 01D80833;
0930 : 01075693;
0931 : 010686B3;
0932 : 02D7E663;
0933 : CED794E3;
0934 : 000107B7;
0935 : FFF78793;
0936 : 00F77733;
0937 : 01071713;
0938 : 00FE7E33;
0939 : 00B31333;
093A : 01C70733;
093B : 00000593;
093C : DAE374E3;
093D : FFF50513;
093E : CBDFF06F;
093F : 00000593;
0940 : 00000513;
0941 : D95FF06F;
0942 : 00058E13;
0943 : 00000813;
0944 : 0005DC63;
0945 : 00A037B3;
0946 : 40B005B3;
0947 : 40F58E33;
0948 : 40A00533;
0949 : FFF00813;
094A : 0006DA63;
094B : 00C037B3;
094C : 40D006B3;
094D : 40F686B3;
094E : 40C00633;
094F : 00060893;
0950 : 00050793;
0951 : 000E0593;
0952 : 24069463;
0953 : 00004737;
0954 : 86C70713;
0955 : 0ECE7663;
0956 : 000106B7;
0957 : 0CD67863;
0958 : 10063693;
0959 : 0016C693;
095A : 00369693;
095B : 00D65333;
095C : 00670733;
095D : 00074703;
095E : 00D70733;
095F : 02000693;
0960 : 40E68333;
0961 : 00E68C63;
0962 : 006E15B3;
0963 : 00E55733;
0964 : 006618B3;
0965 : 00B765B3;
0966 : 006517B3;
0967 : 0108D693;
0968 : 02D5D733;
0969 : 01089513;
096A : 01055513;
096B : 02D5F5B3;
096C : 02A70733;
096D : 01059613;
096E : 0107D593;
096F : 00C5E5B3;
0970 : 00E5FA63;
0971 : 011585B3;
0972 : 0115E663;
0973 : 00E5F463;
0974 : 011585B3;
0975 : 40E585B3;
0976 : 02D5D733;
0977 : 01079793;
0978 : 0107D793;
0979 : 02D5F5B3;
097A : 02A70733;
097B : 01059593;
097C : 00B7E7B3;
097D : 00E7FA63;
097E : 011787B3;
097F : 0117E663;
0980 : 00E7F463;
0981 : 011787B3;
0982 : 40E787B3;
0983 : 0067D533;
0984 : 00000593;
0985 : 00080A63;
0986 : 00A037B3;
0987 : 40B005B3;
0988 : 40F585B3;
0989 : 40A00533;
098A : 00008067;
098B : 01000337;
098C : 01000693;
098D : F2666CE3;
098E : 01800693;
098F : F31FF06F;
0990 : 00061463;
0991 : 00100073;
0992 : 000106B7;
0993 : 0AD67263;
0994 : 10063693;
0995 : 0016C693;
0996 : 00369693;
0997 : 00D655B3;
0998 : 00B70733;
0999 : 00074703;
099A : 00D70733;
099B : 02000693;
099C : 40E68333;
099D : 08E69863;
099E : 40CE0733;
099F : 0108D593;
09A0 : 02B75633;
09A1 : 01089513;
09A2 : 01055513;
09A3 : 0107D693;
09A4 : 02B77733;
09A5 : 02A60633;
09A6 : 01071713;
09A7 : 00E6E733;
09A8 : 00C77A63;
09A9 : 01170733;
09AA : 01176663;
09AB : 00C77463;
09AC : 01170733;
09AD : 40C70733;
09AE : 02B756B3;
09AF : 01079793;
09B0 : 0107D793;
09B1 : 02B77733;
09B2 : 02A686B3;
09B3 : 01071713;
09B4 : 00E7E7B3;
09B5 : 00D7FA63;
09B6 : 011787B3;
09B7 : 0117E663;
09B8 : 00D7F463;
09B9 : 011787B3;
09BA : 40D787B3;
09BB : F21FF06F;
09BC : 010005B7;
09BD : 01000693;
09BE : F6B662E3;
09BF : 01800693;
09C0 : F5DFF06F;
09C1 : 006618B3;
09C2 : 00EE56B3;
09C3 : 006E15B3;
09C4 : 00E55733;
09C5 : 006517B3;
09C6 : 0108D513;
09C7 : 00B76733;
09C8 : 02A6D5B3;
09C9 : 01089E13;
09CA : 010E5E13;
09CB : 02A6F6B3;
09CC : 03C585B3;
09CD : 01069613;
09CE : 01075693;
09CF : 00C6E6B3;
09D0 : 00B6FA63;
09D1 : 011686B3;
09D2 : 0116E663;
09D3 : 00B6F463;
09D4 : 011686B3;
09D5 : 40B686B3;
09D6 : 02A6D633;
09D7 : 01071713;
09D8 : 01075713;
09D9 : 02A6F6B3;
09DA : 03C60633;
09DB : 01069693;
09DC : 00D76733;
09DD : 00C77A63;
09DE : 01170733;
09DF : 01176663;
09E0 : 00C77463;
09E1 : 01170733;
09E2 : 40C70733;
09E3 : EF1FF06F;
09E4 : E8DE62E3;
09E5 : 00010737;
09E6 : 04E6FC63;
09E7 : 1006B713;
09E8 : 00174713;
09E9 : 00371713;
09EA : 000048B7;
09EB : 00E6D333;
09EC : 86C88893;
09ED : 006888B3;
09EE : 0008C303;
09EF : 00E30333;
09F0 : 02000713;
09F1 : 406708B3;
09F2 : 02671E63;
09F3 : 01C6E463;
09F4 : 00C56C63;
09F5 : 40C50633;
09F6 : 40DE06B3;
09F7 : 00C535B3;
09F8 : 40B685B3;
09F9 : 00060793;
09FA : 00078513;
09FB : E29FF06F;
09FC : 010008B7;
09FD : 01000713;
09FE : FB16E8E3;
09FF : 01800713;
0A00 : FA9FF06F;
0A01 : 006657B3;
0A02 : 011696B3;
0A03 : 00D7E6B3;
0A04 : 006E5733;
0A05 : 0106DE93;
0A06 : 03D75FB3;
0A07 : 011E15B3;
0A08 : 01069E13;
0A09 : 010E5E13;
0A0A : 006557B3;
0A0B : 00B7E5B3;
0A0C : 0105D793;
0A0D : 01161633;
0A0E : 01151533;
0A0F : 03D77733;
0A10 : 03FE0F33;
0A11 : 01071713;
0A12 : 00E7E7B3;
0A13 : 000F8713;
0A14 : 01E7FE63;
0A15 : 00D787B3;
0A16 : FFFF8713;
0A17 : 00D7E863;
0A18 : 01E7F663;
0A19 : FFEF8713;
0A1A : 00D787B3;
0A1B : 41E787B3;
0A1C : 03D7DF33;
0A1D : 01059593;
0A1E : 0105D593;
0A1F : 03D7F7B3;
0A20 : 03EE0E33;
0A21 : 01079793;
0A22 : 00F5E5B3;
0A23 : 000F0793;
0A24 : 01C5FE63;
0A25 : 00D585B3;
0A26 : FFFF0793;
0A27 : 00D5E863;
0A28 : 01C5F663;
0A29 : FFEF0793;
0A2A : 00D585B3;
0A2B : 01071713;
0A2C : 00010FB7;
0A2D : 00F76733;
0A2E : 41C585B3;
0A2F : FFFF8E13;
0A30 : 01C777B3;
0A31 : 01065E93;
0A32 : 01075713;
0A33 : 01C67E33;
0A34 : 03C78F33;
0A35 : 03C70E33;
0A36 : 03D787B3;
0A37 : 03D70733;
0A38 : 01C78EB3;
0A39 : 010F5793;
0A3A : 01D787B3;
0A3B : 01C7F463;
0A3C : 01F70733;
0A3D : 0107DE13;
0A3E : 00EE0733;
0A3F : 00010E37;
0A40 : FFFE0E13;
0A41 : 01C7F7B3;
0A42 : 01079793;
0A43 : 01CF7F33;
0A44 : 01E787B3;
0A45 : 00E5E663;
0A46 : 00E59E63;
0A47 : 00F57C63;
0A48 : 40C78633;
0A49 : 00C7B7B3;
0A4A : 00D787B3;
0A4B : 40F70733;
0A4C : 00060793;
0A4D : 40F507B3;
0A4E : 00F53533;
0A4F : 40E585B3;
0A50 : 40A585B3;
0A51 : 00659333;
0A52 : 0117D7B3;
0A53 : 00F36533;
0A54 : 0115D5B3;
0A55 : CC1FF06F;
0A56 : 00050313;
0A57 : 00058893;
0A58 : 00060713;
0A59 : 00050813;
0A5A : 00058793;
0A5B : 28069263;
0A5C : 000045B7;
0A5D : 86C58593;
0A5E : 0EC8F663;
0A5F : 000106B7;
0A60 : 0CD67863;
0A61 : 10063693;
0A62 : 0016C693;
0A63 : 00369693;
0A64 : 00D65533;
0A65 : 00A585B3;
0A66 : 0005C583;
0A67 : 02000513;
0A68 : 00D586B3;
0A69 : 40D505B3;
0A6A : 00D50C63;
0A6B : 00B897B3;
0A6C : 00D356B3;
0A6D : 00B61733;
0A6E : 00F6E7B3;
0A6F : 00B31833;
0A70 : 01075593;
0A71 : 02B7D333;
0A72 : 01071613;
0A73 : 01065613;
0A74 : 02B7F7B3;
0A75 : 00030513;
0A76 : 026608B3;
0A77 : 01079693;
0A78 : 01085793;
0A79 : 00D7E7B3;
0A7A : 0117FE63;
0A7B : 00E787B3;
0A7C : FFF30513;
0A7D : 00E7E863;
0A7E : 0117F663;
0A7F : FFE30513;
0A80 : 00E787B3;
0A81 : 411787B3;
0A82 : 02B7D8B3;
0A83 : 01081813;
0A84 : 01085813;
0A85 : 02B7F7B3;
0A86 : 031606B3;
0A87 : 01079793;
0A88 : 00F86833;
0A89 : 00088793;
0A8A : 00D87C63;
0A8B : 01070833;
0A8C : FFF88793;
0A8D : 00E86663;
0A8E : 00D87463;
0A8F : FFE88793;
0A90 : 01051513;
0A91 : 00F56533;
0A92 : 00000593;
0A93 : 00008067;
0A94 : 01000537;
0A95 : 01000693;
0A96 : F2A66CE3;
0A97 : 01800693;
0A98 : F31FF06F;
0A99 : 00061463;
0A9A : 00100073;
0A9B : 000107B7;
0A9C : 0CF67063;
0A9D : 10063693;
0A9E : 0016C693;
0A9F : 00369693;
0AA0 : 00D657B3;
0AA1 : 00F585B3;
0AA2 : 0005C783;
0AA3 : 00D787B3;
0AA4 : 02000693;
0AA5 : 40F685B3;
0AA6 : 0AF69663;
0AA7 : 40C887B3;
0AA8 : 00100593;
0AA9 : 01075893;
0AAA : 0317DE33;
0AAB : 01071613;
0AAC : 01065613;
0AAD : 01085693;
0AAE : 0317F7B3;
0AAF : 000E0513;
0AB0 : 03C60333;
0AB1 : 01079793;
0AB2 : 00F6E7B3;
0AB3 : 0067FE63;
0AB4 : 00E787B3;
0AB5 : FFFE0513;
0AB6 : 00E7E863;
0AB7 : 0067F663;
0AB8 : FFEE0513;
0AB9 : 00E787B3;
0ABA : 406787B3;
0ABB : 0317D333;
0ABC : 01081813;
0ABD : 01085813;
0ABE : 0317F7B3;
0ABF : 026606B3;
0AC0 : 01079793;
0AC1 : 00F86833;
0AC2 : 00030793;
0AC3 : 00D87C63;
0AC4 : 01070833;
0AC5 : FFF30793;
0AC6 : 00E86663;
0AC7 : 00D87463;
0AC8 : FFE30793;
0AC9 : 01051513;
0ACA : 00F56533;
0ACB : 00008067;
0ACC : 010007B7;
0ACD : 01000693;
0ACE : F4F664E3;
0ACF : 01800693;
0AD0 : F41FF06F;
0AD1 : 00B61733;
0AD2 : 00F8D6B3;
0AD3 : 01075513;
0AD4 : 00F357B3;
0AD5 : 00B31833;
0AD6 : 02A6D333;
0AD7 : 01071613;
0AD8 : 00B898B3;
0AD9 : 01065613;
0ADA : 0117E7B3;
0ADB : 02A6F6B3;
0ADC : 026608B3;
0ADD : 01069593;
0ADE : 0107D693;
0ADF : 00B6E6B3;
0AE0 : 00030593;
0AE1 : 0116FE63;
0AE2 : 00E686B3;
0AE3 : FFF30593;
0AE4 : 00E6E863;
0AE5 : 0116F663;
0AE6 : FFE30593;
0AE7 : 00E686B3;
0AE8 : 411686B3;
0AE9 : 02A6D8B3;
0AEA : 01079793;
0AEB : 0107D793;
0AEC : 02A6F6B3;
0AED : 03160633;
0AEE : 01069693;
0AEF : 00D7E7B3;
0AF0 : 00088693;
0AF1 : 00C7FE63;
0AF2 : 00E787B3;
0AF3 : FFF88693;
0AF4 : 00E7E863;
0AF5 : 00C7F663;
0AF6 : FFE88693;
0AF7 : 00E787B3;
0AF8 : 01059593;
0AF9 : 40C787B3;
0AFA : 00D5E5B3;
0AFB : EB9FF06F;
0AFC : 18D5E663;
0AFD : 000107B7;
0AFE : 04F6F463;
0AFF : 1006B713;
0B00 : 00174713;
0B01 : 00371713;
0B02 : 000047B7;
0B03 : 00E6D5B3;
0B04 : 86C78793;
0B05 : 00B787B3;
0B06 : 0007C783;
0B07 : 00E787B3;
0B08 : 02000713;
0B09 : 40F705B3;
0B0A : 02F71663;
0B0B : 00100513;
0B0C : E116EEE3;
0B0D : 00C33533;
0B0E : 00154513;
0B0F : 00008067;
0B10 : 010007B7;
0B11 : 01000713;
0B12 : FCF6E0E3;
0B13 : 01800713;
0B14 : FB9FF06F;
0B15 : 00F65733;
0B16 : 00B696B3;
0B17 : 00D766B3;
0B18 : 00F8D733;
0B19 : 00B898B3;
0B1A : 00F357B3;
0B1B : 0117E7B3;
0B1C : 0106D893;
0B1D : 03175EB3;
0B1E : 01069813;
0B1F : 01085813;
0B20 : 00B61633;
0B21 : 03177733;
0B22 : 03D80E33;
0B23 : 01071513;
0B24 : 0107D713;
0B25 : 00A76733;
0B26 : 000E8513;
0B27 : 01C77E63;
0B28 : 00D70733;
0B29 : FFFE8513;
0B2A : 00D76863;
0B2B : 01C77663;
0B2C : FFEE8513;
0B2D : 00D70733;
0B2E : 41C70733;
0B2F : 03175E33;
0B30 : 01079793;
0B31 : 0107D793;
0B32 : 03177733;
0B33 : 03C80833;
0B34 : 01071713;
0B35 : 00E7E7B3;
0B36 : 000E0713;
0B37 : 0107FE63;
0B38 : 00D787B3;
0B39 : FFFE0713;
0B3A : 00D7E863;
0B3B : 0107F663;
0B3C : FFEE0713;
0B3D : 00D787B3;
0B3E : 01051513;
0B3F : 00010E37;
0B40 : 00E56533;
0B41 : FFFE0693;
0B42 : 00D57733;
0B43 : 410787B3;
0B44 : 00D676B3;
0B45 : 01055813;
0B46 : 01065613;
0B47 : 02D708B3;
0B48 : 02D806B3;
0B49 : 02C70733;
0B4A : 02C80833;
0B4B : 00D70633;
0B4C : 0108D713;
0B4D : 00C70733;
0B4E : 00D77463;
0B4F : 01C80833;
0B50 : 01075693;
0B51 : 010686B3;
0B52 : 02D7E663;
0B53 : CED79EE3;
0B54 : 000107B7;
0B55 : FFF78793;
0B56 : 00F77733;
0B57 : 01071713;
0B58 : 00F8F8B3;
0B59 : 00B31333;
0B5A : 01170733;
0B5B : 00000593;
0B5C : CCE37EE3;
0B5D : FFF50513;
0B5E : CD1FF06F;
0B5F : 00000593;
0B60 : 00000513;
0B61 : 00008067;
0B62 : FF010113;
0B63 : 00000593;
0B64 : 00812423;
0B65 : 00112623;
0B66 : 00050413;
0B67 : 2C0000EF;
0B68 : 000057B7;
0B69 : E987A503;
0B6A : 03C52783;
0B6B : 00078463;
0B6C : 000780E7;
0B6D : 00040513;
0B6E : 4D4000EF;
0B6F : FF010113;
0B70 : 00812423;
0B71 : 01212023;
0B72 : 00005437;
0B73 : 00005937;
0B74 : 9C040793;
0B75 : 9C090913;
0B76 : 40F90933;
0B77 : 00112623;
0B78 : 00912223;
0B79 : 40295913;
0B7A : 02090063;
0B7B : 9C040413;
0B7C : 00000493;
0B7D : 00042783;
0B7E : 00148493;
0B7F : 00440413;
0B80 : 000780E7;
0B81 : FE9918E3;
0B82 : 00005437;
0B83 : 00005937;
0B84 : 9C040793;
0B85 : 9C890913;
0B86 : 40F90933;
0B87 : 40295913;
0B88 : 02090063;
0B89 : 9C040413;
0B8A : 00000493;
0B8B : 00042783;
0B8C : 00148493;
0B8D : 00440413;
0B8E : 000780E7;
0B8F : FE9918E3;
0B90 : 00C12083;
0B91 : 00812403;
0B92 : 00412483;
0B93 : 00012903;
0B94 : 01010113;
0B95 : 00008067;
0B96 : 00B547B3;
0B97 : 0037F793;
0B98 : 00C508B3;
0B99 : 06079663;
0B9A : 00300793;
0B9B : 06C7F263;
0B9C : 00357793;
0B9D : 00050713;
0B9E : 0C079A63;
0B9F : FFC8F613;
0BA0 : 40E606B3;
0BA1 : 02000793;
0BA2 : 02000293;
0BA3 : 06D7C263;
0BA4 : 00058693;
0BA5 : 00070793;
0BA6 : 02C77863;
0BA7 : 0006A803;
0BA8 : 00478793;
0BA9 : 00468693;
0BAA : FF07AE23;
0BAB : FEC7E8E3;
0BAC : FFF60793;
0BAD : 40E787B3;
0BAE : FFC7F793;
0BAF : 00478793;
0BB0 : 00F70733;
0BB1 : 00F585B3;
0BB2 : 01176863;
0BB3 : 00008067;
0BB4 : 00050713;
0BB5 : FF157CE3;
0BB6 : 0005C783;
0BB7 : 00170713;
0BB8 : 00158593;
0BB9 : FEF70FA3;
0BBA : FF1768E3;
0BBB : 00008067;
0BBC : 0045A683;
0BBD : 01C5A783;
0BBE : 0005AF83;
0BBF : 0085AF03;
0BC0 : 00C5AE83;
0BC1 : 0105AE03;
0BC2 : 0145A303;
0BC3 : 0185A803;
0BC4 : 00D72223;
0BC5 : 0205A683;
0BC6 : 01F72023;
0BC7 : 01E72423;
0BC8 : 01D72623;
0BC9 : 01C72823;
0BCA : 00672A23;
0BCB : 01072C23;
0BCC : 00F72E23;
0BCD : 02470713;
0BCE : 40E607B3;
0BCF : FED72E23;
0BD0 : 02458593;
0BD1 : FAF2C6E3;
0BD2 : F49FF06F;
0BD3 : 0005C683;
0BD4 : 00170713;
0BD5 : 00377793;
0BD6 : FED70FA3;
0BD7 : 00158593;
0BD8 : F0078EE3;
0BD9 : 0005C683;
0BDA : 00170713;
0BDB : 00377793;
0BDC : FED70FA3;
0BDD : 00158593;
0BDE : FC079AE3;
0BDF : F01FF06F;
0BE0 : 00F00313;
0BE1 : 00050713;
0BE2 : 02C37E63;
0BE3 : 00F77793;
0BE4 : 0A079063;
0BE5 : 08059263;
0BE6 : FF067693;
0BE7 : 00F67613;
0BE8 : 00E686B3;
0BE9 : 00B72023;
0BEA : 00B72223;
0BEB : 00B72423;
0BEC : 00B72623;
0BED : 01070713;
0BEE : FED766E3;
0BEF : 00061463;
0BF0 : 00008067;
0BF1 : 40C306B3;
0BF2 : 00269693;
0BF3 : 00000297;
0BF4 : 005686B3;
0BF5 : 00C68067;
0BF6 : 00B70723;
0BF7 : 00B706A3;
0BF8 : 00B70623;
0BF9 : 00B705A3;
0BFA : 00B70523;
0BFB : 00B704A3;
0BFC : 00B70423;
0BFD : 00B703A3;
0BFE : 00B70323;
0BFF : 00B702A3;
0C00 : 00B70223;
0C01 : 00B701A3;
0C02 : 00B70123;
0C03 : 00B700A3;
0C04 : 00B70023;
0C05 : 00008067;
0C06 : 0FF5F593;
0C07 : 00859693;
0C08 : 00D5E5B3;
0C09 : 01059693;
0C0A : 00D5E5B3;
0C0B : F6DFF06F;
0C0C : 00279693;
0C0D : 00000297;
0C0E : 005686B3;
0C0F : 00008293;
0C10 : FA0680E7;
0C11 : 00028093;
0C12 : FF078793;
0C13 : 40F70733;
0C14 : 00F60633;
0C15 : F6C378E3;
0C16 : F3DFF06F;
0C17 : FD010113;
0C18 : 000057B7;
0C19 : 01412C23;
0C1A : E987AA03;
0C1B : 03212023;
0C1C : 02112623;
0C1D : 148A2903;
0C1E : 02812423;
0C1F : 02912223;
0C20 : 01312E23;
0C21 : 01512A23;
0C22 : 01612823;
0C23 : 01712623;
0C24 : 01812423;
0C25 : 04090063;
0C26 : 00050B13;
0C27 : 00058B93;
0C28 : 00100A93;
0C29 : FFF00993;
0C2A : 00492483;
0C2B : FFF48413;
0C2C : 02044263;
0C2D : 00249493;
0C2E : 009904B3;
0C2F : 040B8463;
0C30 : 1044A783;
0C31 : 05778063;
0C32 : FFF40413;
0C33 : FFC48493;
0C34 : FF3416E3;
0C35 : 02C12083;
0C36 : 02812403;
0C37 : 02412483;
0C38 : 02012903;
0C39 : 01C12983;
0C3A : 01812A03;
0C3B : 01412A83;
0C3C : 01012B03;
0C3D : 00C12B83;
0C3E : 00812C03;
0C3F : 03010113;
0C40 : 00008067;
0C41 : 00492783;
0C42 : 0044A683;
0C43 : FFF78793;
0C44 : 04878E63;
0C45 : 0004A223;
0C46 : FA0688E3;
0C47 : 18892783;
0C48 : 008A9733;
0C49 : 00492C03;
0C4A : 00F777B3;
0C4B : 02079263;
0C4C : 000680E7;
0C4D : 00492703;
0C4E : 148A2783;
0C4F : 01871463;
0C50 : F92784E3;
0C51 : F80788E3;
0C52 : 00078913;
0C53 : F5DFF06F;
0C54 : 18C92783;
0C55 : 0844A583;
0C56 : 00F77733;
0C57 : 00071C63;
0C58 : 000B0513;
0C59 : 000680E7;
0C5A : FCDFF06F;
0C5B : 00892223;
0C5C : FA9FF06F;
0C5D : 00058513;
0C5E : 000680E7;
0C5F : FB9FF06F;
0C60 : FF010113;
0C61 : 00812423;
0C62 : 000057B7;
0C63 : 00005437;
0C64 : 9C878793;
0C65 : 9CC40413;
0C66 : 40F40433;
0C67 : 00912223;
0C68 : 00112623;
0C69 : 40245493;
0C6A : 02048063;
0C6B : FFC40413;
0C6C : 00F40433;
0C6D : 00042783;
0C6E : FFF48493;
0C6F : FFC40413;
0C70 : 000780E7;
0C71 : FE0498E3;
0C72 : 00C12083;
0C73 : 00812403;
0C74 : 00412483;
0C75 : 01010113;
0C76 : 00008067;
0C77 : 00050593;
0C78 : 00000693;
0C79 : 00000613;
0C7A : 00000513;
0C7B : 0040006F;
0C7C : 000057B7;
0C7D : E987A703;
0C7E : 14872783;
0C7F : 04078C63;
0C80 : 0047A703;
0C81 : 01F00813;
0C82 : 06E84E63;
0C83 : 00271813;
0C84 : 02050663;
0C85 : 01078333;
0C86 : 08C32423;
0C87 : 1887A883;
0C88 : 00100613;
0C89 : 00E61633;
0C8A : 00C8E8B3;
0C8B : 1917A423;
0C8C : 10D32423;
0C8D : 00200693;
0C8E : 02D50463;
0C8F : 00170713;
0C90 : 00E7A223;
0C91 : 010787B3;
0C92 : 00B7A423;
0C93 : 00000513;
0C94 : 00008067;
0C95 : 14C70793;
0C96 : 14F72423;
0C97 : FA5FF06F;
0C98 : 18C7A683;
0C99 : 00170713;
0C9A : 00E7A223;
0C9B : 00C6E6B3;
0C9C : 18D7A623;
0C9D : 010787B3;
0C9E : 00B7A423;
0C9F : 00000513;
0CA0 : 00008067;
0CA1 : FFF00513;
0CA2 : 00008067;
0CA3 : 05D00893;
0CA4 : 00000073;
0CA5 : 00054463;
0CA6 : 0000006F;
0CA7 : FF010113;
0CA8 : 00812423;
0CA9 : 00050413;
0CAA : 00112623;
0CAB : 40800433;
0CAC : 00C000EF;
0CAD : 00852023;
0CAE : 0000006F;
0CAF : 000057B7;
0CB0 : EA07A503;
0CB1 : 00008067;
0CB2 : 00002020;
0CB3 : 00202020;
0CB4 : 00000020;
0CB5 : 0000202D;
0CB6 : 007C2020;
0CB7 : 00000A7C;
0CB8 : 00000D0A;
0CB9 : 61746F74;
0CBA : 2020206C;
0CBB : 0000203A;
0CBC : 2F646461;
0CBD : 20627573;
0CBE : 0000203A;
0CBF : 206C756D;
0CC0 : 20202020;
0CC1 : 0000203A;
0CC2 : 20766964;
0CC3 : 20202020;
0CC4 : 0000203A;
0CC5 : 2020646C;
0CC6 : 20202020;
0CC7 : 0000203A;
0CC8 : 20207473;
0CC9 : 20202020;
0CCA : 0000203A;
0CCB : 20706D6A;
0CCC : 20202020;
0CCD : 0000203A;
0CCE : 2020206A;
0CCF : 20202020;
0CD0 : 0000203A;
0CD1 : 69756C61;
0CD2 : 20202020;
0CD3 : 0000203A;
0CD4 : 20756C61;
0CD5 : 20202020;
0CD6 : 0000203A;
0CD7 : 0000000A;
0CD8 : 0000202C;
0CD9 : 20642020;
0CDA : 6464613C;
0CDB : 2D203E72;
0CDC : 6964202D;
0CDD : 616C7073;
0CDE : 656D2079;
0CDF : 79726F6D;
0CE0 : 00000A20;
0CE1 : 20622020;
0CE2 : 7561623C;
0CE3 : 74617264;
0CE4 : 2D203E65;
0CE5 : 6573202D;
0CE6 : 61622074;
0CE7 : 61726475;
0CE8 : 0A206574;
0CE9 : 00000000;
0CEA : 20722020;
0CEB : 6464613C;
0CEC : 3C203E72;
0CED : 74646977;
0CEE : 2D203E68;
0CEF : 6572202D;
0CF0 : 6D206461;
0CF1 : 726F6D65;
0CF2 : 6F772079;
0CF3 : 000A6472;
0CF4 : 20772020;
0CF5 : 6464613C;
0CF6 : 3C203E72;
0CF7 : 756C6176;
0CF8 : 3C203E65;
0CF9 : 74646977;
0CFA : 2D203E68;
0CFB : 7277202D;
0CFC : 20657469;
0CFD : 6F6D656D;
0CFE : 77207972;
0CFF : 0A64726F;
0D00 : 00000000;
0D01 : 20202020;
0D02 : 74646977;
0D03 : 2C313D68;
0D04 : 6F203220;
0D05 : 0A342072;
0D06 : 00000000;
0D07 : 30FF96C5;
0D08 : CABD14C9;
0D09 : A10F340D;
0D0A : F38C5891;
0D0B : 7C0A2655;
0D0C : 26618159;
0D0D : 75728D9D;
0D0E : B968AF21;
0D0F : 7B3E89E5;
0D10 : C18201E9;
0D11 : 12583B2D;
0D12 : 56C199B1;
0D13 : 031DC175;
0D14 : 28EF9679;
0D15 : 55E13CBD;
0D16 : 64081841;
0D17 : 157FEA78;
0D18 : 17CC806C;
0D19 : C2F032A0;
0D1A : 76B7D514;
0D1B : B1327BC8;
0D1C : F7657ABC;
0D1D : B64065F0;
0D1E : AFD11164;
0D1F : C8B79118;
0D20 : 49DA390C;
0D21 : EA599D40;
0D22 : 35C491B4;
0D23 : 228C2A68;
0D24 : ECB7BB5C;
0D25 : 88D8D890;
0D26 : 433F5604;
0D27 : 467F813D;
0D28 : E2899535;
0D29 : 63FF66AD;
0D2A : 6A442DA5;
0D2B : 2D3CA21D;
0D2C : 1DC6FC15;
0D2D : 2BB2F38D;
0D2E : 6939C085;
0D2F : 43F61AFD;
0D30 : 0B5C3AF5;
0D31 : FCB1D86D;
0D32 : 8C862B65;
0D33 : 25A9EBDD;
0D34 : 15A751D5;
0D35 : DEBA154D;
0D36 : A7476E45;
0D37 : 1B7FAC4D;
0D38 : B2F0945D;
0D39 : DE1F016D;
0D3A : 7CD4837D;
0D3B : CAD7AA8D;
0D3C : 2EFC069D;
0D3D : BF3227AD;
0D3E : 09979DBD;
0D3F : B286F8CD;
0D40 : 77A7C8DD;
0D41 : 27FE9DED;
0D42 : 20FD07FD;
0D43 : E091970D;
0D44 : 3C37DB1D;
0D45 : CD08642D;
0D46 : 20C8C23D;
0D47 : 8C59BE58;
0D48 : B15544CC;
0D49 : 47DB1220;
0D4A : F24E9054;
0D4B : 09F2C968;
0D4C : 8E5C675C;
0D4D : 5283B430;
0D4E : E97699E4;
0D4F : 74AAA278;
0D50 : 15EEF7EC;
0D51 : 66FE6440;
0D52 : F8B15174;
0D53 : 7BCFC988;
0D54 : D583767C;
0D55 : 0169A250;
0D56 : 43453704;
0D57 : 00000002;
0D58 : FFFFFFFE;
0D59 : 00000001;
0D5A : 00000000;
0D5B : FFFFFFFF;
0D5C : FFFFFFFC;
0D5D : FFFFFFFF;
0D5E : 00000000;
0D5F : FFFFFFFE;
0D60 : 00000000;
0D61 : 00000000;
0D62 : 00000001;
0D63 : 00000000;
0D64 : FFFFFFFE;
0D65 : 00000000;
0D66 : 00000001;
0D67 : 05FFC1D5;
0D68 : FA5615A1;
0D69 : DE1F016D;
0D6A : F38C5891;
0D6B : 2D3CA21D;
0D6C : 03F76C49;
0D6D : 2BB2F38D;
0D6E : B968AF21;
0D6F : 0CADAC15;
0D70 : C18201E9;
0D71 : 12583B2D;
0D72 : 20FD07FD;
0D73 : 031DC175;
0D74 : 025F0D31;
0D75 : 55E13CBD;
0D76 : 20C8C23D;
0D77 : F9537B5E;
0D78 : 0C1E8982;
0D79 : 9CA542E6;
0D7A : 6FD12B8A;
0D7B : 48C5076E;
0D7C : FEC0DA92;
0D7D : AA45E8F6;
0D7E : 2D7AB69A;
0D7F : 9BCF077E;
0D80 : 44DFDFA2;
0D81 : 669B8306;
0D82 : CAA575AA;
0D83 : D2FA7B8E;
0D84 : B9D498B2;
0D85 : 08CF1116;
0D86 : 8B4A68BA;
0D87 : B30B24A9;
0D88 : 94D78A3D;
0D89 : D8ADEE11;
0D8A : C2E1C425;
0D8B : 921AC079;
0D8C : CDA8D70D;
0D8D : 4E183BE1;
0D8E : 340562F5;
0D8F : 41310049;
0D90 : 47D407DD;
0D91 : A433ADB1;
0D92 : F47565C5;
0D93 : 82B2E419;
0D94 : 154E1CAD;
0D95 : 19854381;
0D96 : 5C46CC95;
0D97 : AC5EA007;
0D98 : A0F613BF;
0D99 : 755330F7;
0D9A : 32B2EFAF;
0D9B : DADFC7E7;
0D9C : CC69B19F;
0D9D : F85E24D7;
0D9E : 6180198F;
0D9F : DD0007C7;
0DA0 : 8CB3E77F;
0DA1 : 0ACF30B7;
0DA2 : BF1ADB6F;
0DA3 : 55AD5FA7;
0DA4 : CF22B55F;
0DA5 : 22545497;
0DA6 : E791354F;
0DA7 : 464856B5;
0DA8 : 7746FF45;
0DA9 : C3F754D5;
0DAA : ACEF6765;
0DAB : B6AA46F5;
0DAC : 31180385;
0DAD : 5C2DAD15;
0DAE : F97553A5;
0DAF : 5A9E0735;
0DB0 : FD0BD7C5;
0DB1 : C267D555;
0DB2 : D6300FE5;
0DB3 : 50479775;
0DB4 : A4867C05;
0DB5 : EF49CD95;
0DB6 : 2F039C25;
0DB7 : 5C7FA90E;
0DB8 : FD94D7FA;
0DB9 : 50994546;
0DBA : 26DAF2F2;
0DBB : A7DF02FE;
0DBC : 412BB76A;
0DBD : 8F327236;
0DBE : 5959B562;
0DBF : DB2722EE;
0DC0 : B4897CDA;
0DC1 : FB42A526;
0DC2 : 07719DD2;
0DC3 : B53C88DE;
0DC4 : F59AA84A;
0DC5 : A83E5E16;
0DC6 : D89F2C42;
0DC7 : 00000001;
0DC8 : 00000000;
0DC9 : 00000000;
0DCA : 00000000;
0DCB : 00000000;
0DCC : 00000001;
0DCD : 00000002;
0DCE : 00000000;
0DCF : 00000002;
0DD0 : 00000000;
0DD1 : 00000000;
0DD2 : 00000000;
0DD3 : 00000001;
0DD4 : 00000008;
0DD5 : 00000000;
0DD6 : 00000001;
0DD7 : 464856B5;
0DD8 : 0C1E8982;
0DD9 : 9CA542E6;
0DDA : 6FD12B8A;
0DDB : 48C5076E;
0DDC : 31180385;
0DDD : 0E157134;
0DDE : 2D7AB69A;
0DDF : 196D06EC;
0DE0 : 44DFDFA2;
0DE1 : 669B8306;
0DE2 : CAA575AA;
0DE3 : 50479775;
0DE4 : 0F63B34A;
0DE5 : 08CF1116;
0DE6 : 2F039C25;
0DE7 : 6120200A;
0DE8 : 203A6464;
0DE9 : 00000000;
0DEA : 7320200A;
0DEB : 203A6275;
0DEC : 00000000;
0DED : 6D20200A;
0DEE : 203A6C75;
0DEF : 00000000;
0DF0 : 6420200A;
0DF1 : 203A7669;
0DF2 : 00000000;
0DF3 : 6D20200A;
0DF4 : 203A646F;
0DF5 : 00000000;
0DF6 : 6120200A;
0DF7 : 3A756464;
0DF8 : 00000020;
0DF9 : 7320200A;
0DFA : 3A756275;
0DFB : 00000020;
0DFC : 6D20200A;
0DFD : 3A756C75;
0DFE : 00000020;
0DFF : 6420200A;
0E00 : 3A757669;
0E01 : 00000020;
0E02 : 6D20200A;
0E03 : 3A75646F;
0E04 : 00000020;
0E05 : 65740D0A;
0E06 : 73697473;
0E07 : 00003A61;
0E08 : 73736170;
0E09 : 00006465;
0E0A : 6C696166;
0E0B : 61206465;
0E0C : 00002074;
0E0D : 0000003A;
0E0E : 00003E3E;
0E0F : 003A0D0A;
0E10 : 706C6568;
0E11 : 00000020;
0E12 : 72616863;
0E13 : 00004020;
0E14 : 726F6873;
0E15 : 00402074;
0E16 : 20746E69;
0E17 : 00000040;
0E18 : 00203D20;
0E19 : 00000028;
0E1A : 000D0A29;
0E1B : 02020100;
0E1C : 03030303;
0E1D : 04040404;
0E1E : 04040404;
0E1F : 05050505;
0E20 : 05050505;
0E21 : 05050505;
0E22 : 05050505;
0E23 : 06060606;
0E24 : 06060606;
0E25 : 06060606;
0E26 : 06060606;
0E27 : 06060606;
0E28 : 06060606;
0E29 : 06060606;
0E2A : 06060606;
0E2B : 07070707;
0E2C : 07070707;
0E2D : 07070707;
0E2E : 07070707;
0E2F : 07070707;
0E30 : 07070707;
0E31 : 07070707;
0E32 : 07070707;
0E33 : 07070707;
0E34 : 07070707;
0E35 : 07070707;
0E36 : 07070707;
0E37 : 07070707;
0E38 : 07070707;
0E39 : 07070707;
0E3A : 07070707;
0E3B : 08080808;
0E3C : 08080808;
0E3D : 08080808;
0E3E : 08080808;
0E3F : 08080808;
0E40 : 08080808;
0E41 : 08080808;
0E42 : 08080808;
0E43 : 08080808;
0E44 : 08080808;
0E45 : 08080808;
0E46 : 08080808;
0E47 : 08080808;
0E48 : 08080808;
0E49 : 08080808;
0E4A : 08080808;
0E4B : 08080808;
0E4C : 08080808;
0E4D : 08080808;
0E4E : 08080808;
0E4F : 08080808;
0E50 : 08080808;
0E51 : 08080808;
0E52 : 08080808;
0E53 : 08080808;
0E54 : 08080808;
0E55 : 08080808;
0E56 : 08080808;
0E57 : 08080808;
0E58 : 08080808;
0E59 : 08080808;
0E5A : 08080808;
0529 : 00050593;
052A : 00000693;
052B : 00000613;
052C : 00000513;
052D : 0040006F;
052E : C281A703;
052F : 14872783;
0530 : 04078C63;
0531 : 0047A703;
0532 : 01F00813;
0533 : 06E84E63;
0534 : 00271813;
0535 : 02050663;
0536 : 01078333;
0537 : 08C32423;
0538 : 1887A883;
0539 : 00100613;
053A : 00E61633;
053B : 00C8E8B3;
053C : 1917A423;
053D : 10D32423;
053E : 00200693;
053F : 02D50463;
0540 : 00170713;
0541 : 00E7A223;
0542 : 010787B3;
0543 : 00B7A423;
0544 : 00000513;
0545 : 00008067;
0546 : 14C70793;
0547 : 14F72423;
0548 : FA5FF06F;
0549 : 18C7A683;
054A : 00170713;
054B : 00E7A223;
054C : 00C6E6B3;
054D : 18D7A623;
054E : 010787B3;
054F : 00B7A423;
0550 : 00000513;
0551 : 00008067;
0552 : FFF00513;
0553 : 00008067;
0554 : 05D00893;
0555 : 00000073;
0556 : 00054463;
0557 : 0000006F;
0558 : FF010113;
0559 : 00812423;
055A : 00050413;
055B : 00112623;
055C : 40800433;
055D : 00C000EF;
055E : 00852023;
055F : 0000006F;
0560 : C301A503;
0561 : 00008067;
0562 : 00002020;
0563 : 00202020;
0564 : 00000020;
0565 : 0000202D;
0566 : 007C2020;
0567 : 00000A7C;
0568 : 00000D0A;
0569 : 20642020;
056A : 6464613C;
056B : 2D203E72;
056C : 6964202D;
056D : 616C7073;
056E : 656D2079;
056F : 79726F6D;
0570 : 00000A20;
0571 : 20622020;
0572 : 7561623C;
0573 : 74617264;
0574 : 2D203E65;
0575 : 6573202D;
0576 : 61622074;
0577 : 61726475;
0578 : 0A206574;
0579 : 00000000;
057A : 20722020;
057B : 6464613C;
057C : 3C203E72;
057D : 74646977;
057E : 2D203E68;
057F : 6572202D;
0580 : 6D206461;
0581 : 726F6D65;
0582 : 6F772079;
0583 : 000A6472;
0584 : 20772020;
0585 : 6464613C;
0586 : 3C203E72;
0587 : 756C6176;
0588 : 3C203E65;
0589 : 74646977;
058A : 2D203E68;
058B : 7277202D;
058C : 20657469;
058D : 6F6D656D;
058E : 77207972;
058F : 0A64726F;
0590 : 00000000;
0591 : 20202020;
0592 : 74646977;
0593 : 2C313D68;
0594 : 6F203220;
0595 : 0A342072;
0596 : 00000000;
0597 : 00003E3E;
0598 : 003A0D0A;
0599 : 706C6568;
059A : 00000020;
059B : 72616863;
059C : 00004020;
059D : 726F6873;
059E : 00402074;
059F : 20746E69;
05A0 : 00000040;
05A1 : 00203D20;
05A2 : 00000028;
05A3 : 000D0A29;
05A4 : CDCDCDCD;
05A5 : CDCDCDCD;
05A6 : CDCDCDCD;
05A7 : CDCDCDCD;
05A8 : CDCDCDCD;
05A9 : CDCDCDCD;
05AA : CDCDCDCD;
05AB : CDCDCDCD;
05AC : CDCDCDCD;
05AD : CDCDCDCD;
05AE : CDCDCDCD;
05AF : CDCDCDCD;
05B0 : CDCDCDCD;
05B1 : CDCDCDCD;
05B2 : CDCDCDCD;
05B3 : CDCDCDCD;
05B4 : CDCDCDCD;
05B5 : CDCDCDCD;
05B6 : CDCDCDCD;
05B7 : CDCDCDCD;
05B8 : CDCDCDCD;
05B9 : CDCDCDCD;
05BA : CDCDCDCD;
05BB : CDCDCDCD;
05BC : CDCDCDCD;
05BD : CDCDCDCD;
05BE : CDCDCDCD;
05BF : CDCDCDCD;
05C0 : CDCDCDCD;
05C1 : CDCDCDCD;
05C2 : CDCDCDCD;
05C3 : CDCDCDCD;
05C4 : CDCDCDCD;
05C5 : CDCDCDCD;
05C6 : CDCDCDCD;
05C7 : CDCDCDCD;
05C8 : CDCDCDCD;
05C9 : CDCDCDCD;
05CA : CDCDCDCD;
05CB : CDCDCDCD;
05CC : CDCDCDCD;
05CD : CDCDCDCD;
05CE : CDCDCDCD;
05CF : CDCDCDCD;
05D0 : CDCDCDCD;
05D1 : CDCDCDCD;
05D2 : CDCDCDCD;
05D3 : CDCDCDCD;
05D4 : CDCDCDCD;
05D5 : CDCDCDCD;
05D6 : CDCDCDCD;
05D7 : CDCDCDCD;
05D8 : CDCDCDCD;
05D9 : CDCDCDCD;
05DA : CDCDCDCD;
05DB : CDCDCDCD;
05DC : CDCDCDCD;
05DD : CDCDCDCD;
05DE : CDCDCDCD;
05DF : CDCDCDCD;
05E0 : CDCDCDCD;
05E1 : CDCDCDCD;
05E2 : CDCDCDCD;
05E3 : CDCDCDCD;
05E4 : CDCDCDCD;
05E5 : CDCDCDCD;
05E6 : CDCDCDCD;
05E7 : CDCDCDCD;
05E8 : CDCDCDCD;
05E9 : CDCDCDCD;
05EA : CDCDCDCD;
05EB : CDCDCDCD;
05EC : CDCDCDCD;
05ED : CDCDCDCD;
05EE : CDCDCDCD;
05EF : CDCDCDCD;
05F0 : CDCDCDCD;
05F1 : CDCDCDCD;
05F2 : CDCDCDCD;
05F3 : CDCDCDCD;
05F4 : CDCDCDCD;
05F5 : CDCDCDCD;
05F6 : CDCDCDCD;
05F7 : CDCDCDCD;
05F8 : CDCDCDCD;
05F9 : CDCDCDCD;
05FA : CDCDCDCD;
05FB : CDCDCDCD;
05FC : CDCDCDCD;
05FD : CDCDCDCD;
05FE : CDCDCDCD;
05FF : CDCDCDCD;
0600 : CDCDCDCD;
0601 : CDCDCDCD;
0602 : CDCDCDCD;
0603 : CDCDCDCD;
0604 : CDCDCDCD;
0605 : CDCDCDCD;
0606 : CDCDCDCD;
0607 : CDCDCDCD;
0608 : CDCDCDCD;
0609 : CDCDCDCD;
060A : CDCDCDCD;
060B : CDCDCDCD;
060C : CDCDCDCD;
060D : CDCDCDCD;
060E : CDCDCDCD;
060F : CDCDCDCD;
0610 : CDCDCDCD;
0611 : CDCDCDCD;
0612 : CDCDCDCD;
0613 : CDCDCDCD;
0614 : CDCDCDCD;
0615 : CDCDCDCD;
0616 : CDCDCDCD;
0617 : CDCDCDCD;
0618 : CDCDCDCD;
0619 : CDCDCDCD;
061A : CDCDCDCD;
061B : CDCDCDCD;
061C : CDCDCDCD;
061D : CDCDCDCD;
061E : CDCDCDCD;
061F : CDCDCDCD;
0620 : CDCDCDCD;
0621 : CDCDCDCD;
0622 : CDCDCDCD;
0623 : CDCDCDCD;
0624 : CDCDCDCD;
0625 : CDCDCDCD;
0626 : CDCDCDCD;
0627 : CDCDCDCD;
0628 : CDCDCDCD;
0629 : CDCDCDCD;
062A : CDCDCDCD;
062B : CDCDCDCD;
062C : CDCDCDCD;
062D : CDCDCDCD;
062E : CDCDCDCD;
062F : CDCDCDCD;
0630 : CDCDCDCD;
0631 : CDCDCDCD;
0632 : CDCDCDCD;
0633 : CDCDCDCD;
0634 : CDCDCDCD;
0635 : CDCDCDCD;
0636 : CDCDCDCD;
0637 : CDCDCDCD;
0638 : CDCDCDCD;
0639 : CDCDCDCD;
063A : CDCDCDCD;
063B : CDCDCDCD;
063C : CDCDCDCD;
063D : CDCDCDCD;
063E : CDCDCDCD;
063F : CDCDCDCD;
0640 : CDCDCDCD;
0641 : CDCDCDCD;
0642 : CDCDCDCD;
0643 : CDCDCDCD;
0644 : CDCDCDCD;
0645 : CDCDCDCD;
0646 : CDCDCDCD;
0647 : CDCDCDCD;
0648 : CDCDCDCD;
0649 : CDCDCDCD;
064A : CDCDCDCD;
064B : CDCDCDCD;
064C : CDCDCDCD;
064D : CDCDCDCD;
064E : CDCDCDCD;
064F : CDCDCDCD;
0650 : CDCDCDCD;
0651 : CDCDCDCD;
0652 : CDCDCDCD;
0653 : CDCDCDCD;
0654 : CDCDCDCD;
0655 : CDCDCDCD;
0656 : CDCDCDCD;
0657 : CDCDCDCD;
0658 : CDCDCDCD;
0659 : CDCDCDCD;
065A : CDCDCDCD;
065B : CDCDCDCD;
065C : CDCDCDCD;
065D : CDCDCDCD;
065E : CDCDCDCD;
065F : CDCDCDCD;
0660 : CDCDCDCD;
0661 : CDCDCDCD;
0662 : CDCDCDCD;
0663 : CDCDCDCD;
0664 : CDCDCDCD;
0665 : CDCDCDCD;
0666 : CDCDCDCD;
0667 : CDCDCDCD;
0668 : CDCDCDCD;
0669 : CDCDCDCD;
066A : CDCDCDCD;
066B : CDCDCDCD;
066C : CDCDCDCD;
066D : CDCDCDCD;
066E : CDCDCDCD;
066F : CDCDCDCD;
0670 : CDCDCDCD;
0671 : CDCDCDCD;
0672 : CDCDCDCD;
0673 : CDCDCDCD;
0674 : CDCDCDCD;
0675 : CDCDCDCD;
0676 : CDCDCDCD;
0677 : CDCDCDCD;
0678 : CDCDCDCD;
0679 : CDCDCDCD;
067A : CDCDCDCD;
067B : CDCDCDCD;
067C : CDCDCDCD;
067D : CDCDCDCD;
067E : CDCDCDCD;
067F : CDCDCDCD;
0680 : CDCDCDCD;
0681 : CDCDCDCD;
0682 : CDCDCDCD;
0683 : CDCDCDCD;
0684 : CDCDCDCD;
0685 : CDCDCDCD;
0686 : CDCDCDCD;
0687 : CDCDCDCD;
0688 : CDCDCDCD;
0689 : CDCDCDCD;
068A : CDCDCDCD;
068B : CDCDCDCD;
068C : CDCDCDCD;
068D : CDCDCDCD;
068E : CDCDCDCD;
068F : CDCDCDCD;
0690 : CDCDCDCD;
0691 : CDCDCDCD;
0692 : CDCDCDCD;
0693 : CDCDCDCD;
0694 : CDCDCDCD;
0695 : CDCDCDCD;
0696 : CDCDCDCD;
0697 : CDCDCDCD;
0698 : CDCDCDCD;
0699 : CDCDCDCD;
069A : CDCDCDCD;
069B : CDCDCDCD;
069C : CDCDCDCD;
069D : CDCDCDCD;
069E : CDCDCDCD;
069F : CDCDCDCD;
06A0 : CDCDCDCD;
06A1 : CDCDCDCD;
06A2 : CDCDCDCD;
06A3 : CDCDCDCD;
06A4 : CDCDCDCD;
06A5 : CDCDCDCD;
06A6 : CDCDCDCD;
06A7 : CDCDCDCD;
06A8 : CDCDCDCD;
06A9 : CDCDCDCD;
06AA : CDCDCDCD;
06AB : CDCDCDCD;
06AC : CDCDCDCD;
06AD : CDCDCDCD;
06AE : CDCDCDCD;
06AF : CDCDCDCD;
06B0 : CDCDCDCD;
06B1 : CDCDCDCD;
06B2 : CDCDCDCD;
06B3 : CDCDCDCD;
06B4 : CDCDCDCD;
06B5 : CDCDCDCD;
06B6 : CDCDCDCD;
06B7 : CDCDCDCD;
06B8 : CDCDCDCD;
06B9 : CDCDCDCD;
06BA : CDCDCDCD;
06BB : CDCDCDCD;
06BC : CDCDCDCD;
06BD : CDCDCDCD;
06BE : CDCDCDCD;
06BF : CDCDCDCD;
06C0 : CDCDCDCD;
06C1 : CDCDCDCD;
06C2 : CDCDCDCD;
06C3 : CDCDCDCD;
06C4 : CDCDCDCD;
06C5 : CDCDCDCD;
06C6 : CDCDCDCD;
06C7 : CDCDCDCD;
06C8 : CDCDCDCD;
06C9 : CDCDCDCD;
06CA : CDCDCDCD;
06CB : CDCDCDCD;
06CC : CDCDCDCD;
06CD : CDCDCDCD;
06CE : CDCDCDCD;
06CF : CDCDCDCD;
06D0 : CDCDCDCD;
06D1 : CDCDCDCD;
06D2 : CDCDCDCD;
06D3 : CDCDCDCD;
06D4 : CDCDCDCD;
06D5 : CDCDCDCD;
06D6 : CDCDCDCD;
06D7 : CDCDCDCD;
06D8 : CDCDCDCD;
06D9 : CDCDCDCD;
06DA : CDCDCDCD;
06DB : CDCDCDCD;
06DC : CDCDCDCD;
06DD : CDCDCDCD;
06DE : CDCDCDCD;
06DF : CDCDCDCD;
06E0 : CDCDCDCD;
06E1 : CDCDCDCD;
06E2 : CDCDCDCD;
06E3 : CDCDCDCD;
06E4 : CDCDCDCD;
06E5 : CDCDCDCD;
06E6 : CDCDCDCD;
06E7 : CDCDCDCD;
06E8 : CDCDCDCD;
06E9 : CDCDCDCD;
06EA : CDCDCDCD;
06EB : CDCDCDCD;
06EC : CDCDCDCD;
06ED : CDCDCDCD;
06EE : CDCDCDCD;
06EF : CDCDCDCD;
06F0 : CDCDCDCD;
06F1 : CDCDCDCD;
06F2 : CDCDCDCD;
06F3 : CDCDCDCD;
06F4 : CDCDCDCD;
06F5 : CDCDCDCD;
06F6 : CDCDCDCD;
06F7 : CDCDCDCD;
06F8 : CDCDCDCD;
06F9 : CDCDCDCD;
06FA : CDCDCDCD;
06FB : CDCDCDCD;
06FC : CDCDCDCD;
06FD : CDCDCDCD;
06FE : CDCDCDCD;
06FF : CDCDCDCD;
0700 : CDCDCDCD;
0701 : CDCDCDCD;
0702 : CDCDCDCD;
0703 : CDCDCDCD;
0704 : CDCDCDCD;
0705 : CDCDCDCD;
0706 : CDCDCDCD;
0707 : CDCDCDCD;
0708 : CDCDCDCD;
0709 : CDCDCDCD;
070A : CDCDCDCD;
070B : CDCDCDCD;
070C : CDCDCDCD;
070D : CDCDCDCD;
070E : CDCDCDCD;
070F : CDCDCDCD;
0710 : CDCDCDCD;
0711 : CDCDCDCD;
0712 : CDCDCDCD;
0713 : CDCDCDCD;
0714 : CDCDCDCD;
0715 : CDCDCDCD;
0716 : CDCDCDCD;
0717 : CDCDCDCD;
0718 : CDCDCDCD;
0719 : CDCDCDCD;
071A : CDCDCDCD;
071B : CDCDCDCD;
071C : CDCDCDCD;
071D : CDCDCDCD;
071E : CDCDCDCD;
071F : CDCDCDCD;
0720 : CDCDCDCD;
0721 : CDCDCDCD;
0722 : CDCDCDCD;
0723 : CDCDCDCD;
0724 : CDCDCDCD;
0725 : CDCDCDCD;
0726 : CDCDCDCD;
0727 : CDCDCDCD;
0728 : CDCDCDCD;
0729 : CDCDCDCD;
072A : CDCDCDCD;
072B : CDCDCDCD;
072C : CDCDCDCD;
072D : CDCDCDCD;
072E : CDCDCDCD;
072F : CDCDCDCD;
0730 : CDCDCDCD;
0731 : CDCDCDCD;
0732 : CDCDCDCD;
0733 : CDCDCDCD;
0734 : CDCDCDCD;
0735 : CDCDCDCD;
0736 : CDCDCDCD;
0737 : CDCDCDCD;
0738 : CDCDCDCD;
0739 : CDCDCDCD;
073A : CDCDCDCD;
073B : CDCDCDCD;
073C : CDCDCDCD;
073D : CDCDCDCD;
073E : CDCDCDCD;
073F : CDCDCDCD;
0740 : CDCDCDCD;
0741 : CDCDCDCD;
0742 : CDCDCDCD;
0743 : CDCDCDCD;
0744 : CDCDCDCD;
0745 : CDCDCDCD;
0746 : CDCDCDCD;
0747 : CDCDCDCD;
0748 : CDCDCDCD;
0749 : CDCDCDCD;
074A : CDCDCDCD;
074B : CDCDCDCD;
074C : CDCDCDCD;
074D : CDCDCDCD;
074E : CDCDCDCD;
074F : CDCDCDCD;
0750 : CDCDCDCD;
0751 : CDCDCDCD;
0752 : CDCDCDCD;
0753 : CDCDCDCD;
0754 : CDCDCDCD;
0755 : CDCDCDCD;
0756 : CDCDCDCD;
0757 : CDCDCDCD;
0758 : CDCDCDCD;
0759 : CDCDCDCD;
075A : CDCDCDCD;
075B : CDCDCDCD;
075C : CDCDCDCD;
075D : CDCDCDCD;
075E : CDCDCDCD;
075F : CDCDCDCD;
0760 : CDCDCDCD;
0761 : CDCDCDCD;
0762 : CDCDCDCD;
0763 : CDCDCDCD;
0764 : CDCDCDCD;
0765 : CDCDCDCD;
0766 : CDCDCDCD;
0767 : CDCDCDCD;
0768 : CDCDCDCD;
0769 : CDCDCDCD;
076A : CDCDCDCD;
076B : CDCDCDCD;
076C : CDCDCDCD;
076D : CDCDCDCD;
076E : CDCDCDCD;
076F : CDCDCDCD;
0770 : CDCDCDCD;
0771 : CDCDCDCD;
0772 : CDCDCDCD;
0773 : CDCDCDCD;
0774 : CDCDCDCD;
0775 : CDCDCDCD;
0776 : CDCDCDCD;
0777 : CDCDCDCD;
0778 : CDCDCDCD;
0779 : CDCDCDCD;
077A : CDCDCDCD;
077B : CDCDCDCD;
077C : CDCDCDCD;
077D : CDCDCDCD;
077E : CDCDCDCD;
077F : CDCDCDCD;
0780 : CDCDCDCD;
0781 : CDCDCDCD;
0782 : CDCDCDCD;
0783 : CDCDCDCD;
0784 : CDCDCDCD;
0785 : CDCDCDCD;
0786 : CDCDCDCD;
0787 : CDCDCDCD;
0788 : CDCDCDCD;
0789 : CDCDCDCD;
078A : CDCDCDCD;
078B : CDCDCDCD;
078C : CDCDCDCD;
078D : CDCDCDCD;
078E : CDCDCDCD;
078F : CDCDCDCD;
0790 : CDCDCDCD;
0791 : CDCDCDCD;
0792 : CDCDCDCD;
0793 : CDCDCDCD;
0794 : CDCDCDCD;
0795 : CDCDCDCD;
0796 : CDCDCDCD;
0797 : CDCDCDCD;
0798 : CDCDCDCD;
0799 : CDCDCDCD;
079A : CDCDCDCD;
079B : CDCDCDCD;
079C : CDCDCDCD;
079D : CDCDCDCD;
079E : CDCDCDCD;
079F : CDCDCDCD;
07A0 : CDCDCDCD;
07A1 : CDCDCDCD;
07A2 : CDCDCDCD;
07A3 : CDCDCDCD;
07A4 : CDCDCDCD;
07A5 : CDCDCDCD;
07A6 : CDCDCDCD;
07A7 : CDCDCDCD;
07A8 : CDCDCDCD;
07A9 : CDCDCDCD;
07AA : CDCDCDCD;
07AB : CDCDCDCD;
07AC : CDCDCDCD;
07AD : CDCDCDCD;
07AE : CDCDCDCD;
07AF : CDCDCDCD;
07B0 : CDCDCDCD;
07B1 : CDCDCDCD;
07B2 : CDCDCDCD;
07B3 : CDCDCDCD;
07B4 : CDCDCDCD;
07B5 : CDCDCDCD;
07B6 : CDCDCDCD;
07B7 : CDCDCDCD;
07B8 : CDCDCDCD;
07B9 : CDCDCDCD;
07BA : CDCDCDCD;
07BB : CDCDCDCD;
07BC : CDCDCDCD;
07BD : CDCDCDCD;
07BE : CDCDCDCD;
07BF : CDCDCDCD;
07C0 : CDCDCDCD;
07C1 : CDCDCDCD;
07C2 : CDCDCDCD;
07C3 : CDCDCDCD;
07C4 : CDCDCDCD;
07C5 : CDCDCDCD;
07C6 : CDCDCDCD;
07C7 : CDCDCDCD;
07C8 : CDCDCDCD;
07C9 : CDCDCDCD;
07CA : CDCDCDCD;
07CB : CDCDCDCD;
07CC : CDCDCDCD;
07CD : CDCDCDCD;
07CE : CDCDCDCD;
07CF : CDCDCDCD;
07D0 : CDCDCDCD;
07D1 : CDCDCDCD;
07D2 : CDCDCDCD;
07D3 : CDCDCDCD;
07D4 : CDCDCDCD;
07D5 : CDCDCDCD;
07D6 : CDCDCDCD;
07D7 : CDCDCDCD;
07D8 : CDCDCDCD;
07D9 : CDCDCDCD;
07DA : CDCDCDCD;
07DB : CDCDCDCD;
07DC : CDCDCDCD;
07DD : CDCDCDCD;
07DE : CDCDCDCD;
07DF : CDCDCDCD;
07E0 : CDCDCDCD;
07E1 : CDCDCDCD;
07E2 : CDCDCDCD;
07E3 : CDCDCDCD;
07E4 : CDCDCDCD;
07E5 : CDCDCDCD;
07E6 : CDCDCDCD;
07E7 : CDCDCDCD;
07E8 : CDCDCDCD;
07E9 : CDCDCDCD;
07EA : CDCDCDCD;
07EB : CDCDCDCD;
07EC : CDCDCDCD;
07ED : CDCDCDCD;
07EE : CDCDCDCD;
07EF : CDCDCDCD;
07F0 : CDCDCDCD;
07F1 : CDCDCDCD;
07F2 : CDCDCDCD;
07F3 : CDCDCDCD;
07F4 : CDCDCDCD;
07F5 : CDCDCDCD;
07F6 : CDCDCDCD;
07F7 : CDCDCDCD;
07F8 : CDCDCDCD;
07F9 : CDCDCDCD;
07FA : CDCDCDCD;
07FB : CDCDCDCD;
07FC : CDCDCDCD;
07FD : CDCDCDCD;
07FE : CDCDCDCD;
07FF : CDCDCDCD;
0800 : CDCDCDCD;
0801 : CDCDCDCD;
0802 : CDCDCDCD;
0803 : CDCDCDCD;
0804 : CDCDCDCD;
0805 : CDCDCDCD;
0806 : CDCDCDCD;
0807 : CDCDCDCD;
0808 : CDCDCDCD;
0809 : CDCDCDCD;
080A : CDCDCDCD;
080B : CDCDCDCD;
080C : CDCDCDCD;
080D : CDCDCDCD;
080E : CDCDCDCD;
080F : CDCDCDCD;
0810 : CDCDCDCD;
0811 : CDCDCDCD;
0812 : CDCDCDCD;
0813 : CDCDCDCD;
0814 : CDCDCDCD;
0815 : CDCDCDCD;
0816 : CDCDCDCD;
0817 : CDCDCDCD;
0818 : CDCDCDCD;
0819 : CDCDCDCD;
081A : CDCDCDCD;
081B : CDCDCDCD;
081C : CDCDCDCD;
081D : CDCDCDCD;
081E : CDCDCDCD;
081F : CDCDCDCD;
0820 : CDCDCDCD;
0821 : CDCDCDCD;
0822 : CDCDCDCD;
0823 : CDCDCDCD;
0824 : CDCDCDCD;
0825 : CDCDCDCD;
0826 : CDCDCDCD;
0827 : CDCDCDCD;
0828 : CDCDCDCD;
0829 : CDCDCDCD;
082A : CDCDCDCD;
082B : CDCDCDCD;
082C : CDCDCDCD;
082D : CDCDCDCD;
082E : CDCDCDCD;
082F : CDCDCDCD;
0830 : CDCDCDCD;
0831 : CDCDCDCD;
0832 : CDCDCDCD;
0833 : CDCDCDCD;
0834 : CDCDCDCD;
0835 : CDCDCDCD;
0836 : CDCDCDCD;
0837 : CDCDCDCD;
0838 : CDCDCDCD;
0839 : CDCDCDCD;
083A : CDCDCDCD;
083B : CDCDCDCD;
083C : CDCDCDCD;
083D : CDCDCDCD;
083E : CDCDCDCD;
083F : CDCDCDCD;
0840 : CDCDCDCD;
0841 : CDCDCDCD;
0842 : CDCDCDCD;
0843 : CDCDCDCD;
0844 : CDCDCDCD;
0845 : CDCDCDCD;
0846 : CDCDCDCD;
0847 : CDCDCDCD;
0848 : CDCDCDCD;
0849 : CDCDCDCD;
084A : CDCDCDCD;
084B : CDCDCDCD;
084C : CDCDCDCD;
084D : CDCDCDCD;
084E : CDCDCDCD;
084F : CDCDCDCD;
0850 : CDCDCDCD;
0851 : CDCDCDCD;
0852 : CDCDCDCD;
0853 : CDCDCDCD;
0854 : CDCDCDCD;
0855 : CDCDCDCD;
0856 : CDCDCDCD;
0857 : CDCDCDCD;
0858 : CDCDCDCD;
0859 : CDCDCDCD;
085A : CDCDCDCD;
085B : CDCDCDCD;
085C : CDCDCDCD;
085D : CDCDCDCD;
085E : CDCDCDCD;
085F : CDCDCDCD;
0860 : CDCDCDCD;
0861 : CDCDCDCD;
0862 : CDCDCDCD;
0863 : CDCDCDCD;
0864 : CDCDCDCD;
0865 : CDCDCDCD;
0866 : CDCDCDCD;
0867 : CDCDCDCD;
0868 : CDCDCDCD;
0869 : CDCDCDCD;
086A : CDCDCDCD;
086B : CDCDCDCD;
086C : CDCDCDCD;
086D : CDCDCDCD;
086E : CDCDCDCD;
086F : CDCDCDCD;
0870 : CDCDCDCD;
0871 : CDCDCDCD;
0872 : CDCDCDCD;
0873 : CDCDCDCD;
0874 : CDCDCDCD;
0875 : CDCDCDCD;
0876 : CDCDCDCD;
0877 : CDCDCDCD;
0878 : CDCDCDCD;
0879 : CDCDCDCD;
087A : CDCDCDCD;
087B : CDCDCDCD;
087C : CDCDCDCD;
087D : CDCDCDCD;
087E : CDCDCDCD;
087F : CDCDCDCD;
0880 : CDCDCDCD;
0881 : CDCDCDCD;
0882 : CDCDCDCD;
0883 : CDCDCDCD;
0884 : CDCDCDCD;
0885 : CDCDCDCD;
0886 : CDCDCDCD;
0887 : CDCDCDCD;
0888 : CDCDCDCD;
0889 : CDCDCDCD;
088A : CDCDCDCD;
088B : CDCDCDCD;
088C : CDCDCDCD;
088D : CDCDCDCD;
088E : CDCDCDCD;
088F : CDCDCDCD;
0890 : CDCDCDCD;
0891 : CDCDCDCD;
0892 : CDCDCDCD;
0893 : CDCDCDCD;
0894 : CDCDCDCD;
0895 : CDCDCDCD;
0896 : CDCDCDCD;
0897 : CDCDCDCD;
0898 : CDCDCDCD;
0899 : CDCDCDCD;
089A : CDCDCDCD;
089B : CDCDCDCD;
089C : CDCDCDCD;
089D : CDCDCDCD;
089E : CDCDCDCD;
089F : CDCDCDCD;
08A0 : CDCDCDCD;
08A1 : CDCDCDCD;
08A2 : CDCDCDCD;
08A3 : CDCDCDCD;
08A4 : CDCDCDCD;
08A5 : CDCDCDCD;
08A6 : CDCDCDCD;
08A7 : CDCDCDCD;
08A8 : CDCDCDCD;
08A9 : CDCDCDCD;
08AA : CDCDCDCD;
08AB : CDCDCDCD;
08AC : CDCDCDCD;
08AD : CDCDCDCD;
08AE : CDCDCDCD;
08AF : CDCDCDCD;
08B0 : CDCDCDCD;
08B1 : CDCDCDCD;
08B2 : CDCDCDCD;
08B3 : CDCDCDCD;
08B4 : CDCDCDCD;
08B5 : CDCDCDCD;
08B6 : CDCDCDCD;
08B7 : CDCDCDCD;
08B8 : CDCDCDCD;
08B9 : CDCDCDCD;
08BA : CDCDCDCD;
08BB : CDCDCDCD;
08BC : CDCDCDCD;
08BD : CDCDCDCD;
08BE : CDCDCDCD;
08BF : CDCDCDCD;
08C0 : CDCDCDCD;
08C1 : CDCDCDCD;
08C2 : CDCDCDCD;
08C3 : CDCDCDCD;
08C4 : CDCDCDCD;
08C5 : CDCDCDCD;
08C6 : CDCDCDCD;
08C7 : CDCDCDCD;
08C8 : CDCDCDCD;
08C9 : CDCDCDCD;
08CA : CDCDCDCD;
08CB : CDCDCDCD;
08CC : CDCDCDCD;
08CD : CDCDCDCD;
08CE : CDCDCDCD;
08CF : CDCDCDCD;
08D0 : CDCDCDCD;
08D1 : CDCDCDCD;
08D2 : CDCDCDCD;
08D3 : CDCDCDCD;
08D4 : CDCDCDCD;
08D5 : CDCDCDCD;
08D6 : CDCDCDCD;
08D7 : CDCDCDCD;
08D8 : CDCDCDCD;
08D9 : CDCDCDCD;
08DA : CDCDCDCD;
08DB : CDCDCDCD;
08DC : CDCDCDCD;
08DD : CDCDCDCD;
08DE : CDCDCDCD;
08DF : CDCDCDCD;
08E0 : CDCDCDCD;
08E1 : CDCDCDCD;
08E2 : CDCDCDCD;
08E3 : CDCDCDCD;
08E4 : CDCDCDCD;
08E5 : CDCDCDCD;
08E6 : CDCDCDCD;
08E7 : CDCDCDCD;
08E8 : CDCDCDCD;
08E9 : CDCDCDCD;
08EA : CDCDCDCD;
08EB : CDCDCDCD;
08EC : CDCDCDCD;
08ED : CDCDCDCD;
08EE : CDCDCDCD;
08EF : CDCDCDCD;
08F0 : CDCDCDCD;
08F1 : CDCDCDCD;
08F2 : CDCDCDCD;
08F3 : CDCDCDCD;
08F4 : CDCDCDCD;
08F5 : CDCDCDCD;
08F6 : CDCDCDCD;
08F7 : CDCDCDCD;
08F8 : CDCDCDCD;
08F9 : CDCDCDCD;
08FA : CDCDCDCD;
08FB : CDCDCDCD;
08FC : CDCDCDCD;
08FD : CDCDCDCD;
08FE : CDCDCDCD;
08FF : CDCDCDCD;
0900 : CDCDCDCD;
0901 : CDCDCDCD;
0902 : CDCDCDCD;
0903 : CDCDCDCD;
0904 : CDCDCDCD;
0905 : CDCDCDCD;
0906 : CDCDCDCD;
0907 : CDCDCDCD;
0908 : CDCDCDCD;
0909 : CDCDCDCD;
090A : CDCDCDCD;
090B : CDCDCDCD;
090C : CDCDCDCD;
090D : CDCDCDCD;
090E : CDCDCDCD;
090F : CDCDCDCD;
0910 : CDCDCDCD;
0911 : CDCDCDCD;
0912 : CDCDCDCD;
0913 : CDCDCDCD;
0914 : CDCDCDCD;
0915 : CDCDCDCD;
0916 : CDCDCDCD;
0917 : CDCDCDCD;
0918 : CDCDCDCD;
0919 : CDCDCDCD;
091A : CDCDCDCD;
091B : CDCDCDCD;
091C : CDCDCDCD;
091D : CDCDCDCD;
091E : CDCDCDCD;
091F : CDCDCDCD;
0920 : CDCDCDCD;
0921 : CDCDCDCD;
0922 : CDCDCDCD;
0923 : CDCDCDCD;
0924 : CDCDCDCD;
0925 : CDCDCDCD;
0926 : CDCDCDCD;
0927 : CDCDCDCD;
0928 : CDCDCDCD;
0929 : CDCDCDCD;
092A : CDCDCDCD;
092B : CDCDCDCD;
092C : CDCDCDCD;
092D : CDCDCDCD;
092E : CDCDCDCD;
092F : CDCDCDCD;
0930 : CDCDCDCD;
0931 : CDCDCDCD;
0932 : CDCDCDCD;
0933 : CDCDCDCD;
0934 : CDCDCDCD;
0935 : CDCDCDCD;
0936 : CDCDCDCD;
0937 : CDCDCDCD;
0938 : CDCDCDCD;
0939 : CDCDCDCD;
093A : CDCDCDCD;
093B : CDCDCDCD;
093C : CDCDCDCD;
093D : CDCDCDCD;
093E : CDCDCDCD;
093F : CDCDCDCD;
0940 : CDCDCDCD;
0941 : CDCDCDCD;
0942 : CDCDCDCD;
0943 : CDCDCDCD;
0944 : CDCDCDCD;
0945 : CDCDCDCD;
0946 : CDCDCDCD;
0947 : CDCDCDCD;
0948 : CDCDCDCD;
0949 : CDCDCDCD;
094A : CDCDCDCD;
094B : CDCDCDCD;
094C : CDCDCDCD;
094D : CDCDCDCD;
094E : CDCDCDCD;
094F : CDCDCDCD;
0950 : CDCDCDCD;
0951 : CDCDCDCD;
0952 : CDCDCDCD;
0953 : CDCDCDCD;
0954 : CDCDCDCD;
0955 : CDCDCDCD;
0956 : CDCDCDCD;
0957 : CDCDCDCD;
0958 : CDCDCDCD;
0959 : CDCDCDCD;
095A : CDCDCDCD;
095B : CDCDCDCD;
095C : CDCDCDCD;
095D : CDCDCDCD;
095E : CDCDCDCD;
095F : CDCDCDCD;
0960 : CDCDCDCD;
0961 : CDCDCDCD;
0962 : CDCDCDCD;
0963 : CDCDCDCD;
0964 : CDCDCDCD;
0965 : CDCDCDCD;
0966 : CDCDCDCD;
0967 : CDCDCDCD;
0968 : CDCDCDCD;
0969 : CDCDCDCD;
096A : CDCDCDCD;
096B : CDCDCDCD;
096C : CDCDCDCD;
096D : CDCDCDCD;
096E : CDCDCDCD;
096F : CDCDCDCD;
0970 : CDCDCDCD;
0971 : CDCDCDCD;
0972 : CDCDCDCD;
0973 : CDCDCDCD;
0974 : CDCDCDCD;
0975 : CDCDCDCD;
0976 : CDCDCDCD;
0977 : CDCDCDCD;
0978 : CDCDCDCD;
0979 : CDCDCDCD;
097A : CDCDCDCD;
097B : CDCDCDCD;
097C : CDCDCDCD;
097D : CDCDCDCD;
097E : CDCDCDCD;
097F : CDCDCDCD;
0980 : CDCDCDCD;
0981 : CDCDCDCD;
0982 : CDCDCDCD;
0983 : CDCDCDCD;
0984 : CDCDCDCD;
0985 : CDCDCDCD;
0986 : CDCDCDCD;
0987 : CDCDCDCD;
0988 : CDCDCDCD;
0989 : CDCDCDCD;
098A : CDCDCDCD;
098B : CDCDCDCD;
098C : CDCDCDCD;
098D : CDCDCDCD;
098E : CDCDCDCD;
098F : CDCDCDCD;
0990 : CDCDCDCD;
0991 : CDCDCDCD;
0992 : CDCDCDCD;
0993 : CDCDCDCD;
0994 : CDCDCDCD;
0995 : CDCDCDCD;
0996 : CDCDCDCD;
0997 : CDCDCDCD;
0998 : CDCDCDCD;
0999 : CDCDCDCD;
099A : CDCDCDCD;
099B : CDCDCDCD;
099C : CDCDCDCD;
099D : CDCDCDCD;
099E : CDCDCDCD;
099F : CDCDCDCD;
09A0 : CDCDCDCD;
09A1 : CDCDCDCD;
09A2 : CDCDCDCD;
09A3 : CDCDCDCD;
09A4 : 00000000;
09A5 : 00000074;
09A6 : 0000011C;
09A7 : 000000D8;
09A8 : 00000000;
09A9 : 0000298C;
09AA : 000029F4;
09AB : 00002A5C;
09AC : 00000000;
09AD : 00000000;
09AE : 00000000;
09AF : 00000000;
09B0 : 00000000;
09B1 : 00000000;
09B2 : 00000000;
09B3 : 00000000;
09B4 : 00000000;
09B5 : 00000000;
09B6 : 00000000;
09B7 : 00000000;
09B8 : 00000000;
09B9 : 00000000;
09BA : 00000000;
09BB : 00000000;
09BC : 00000000;
09BD : 00000000;
09BE : 00000000;
09BF : 00000000;
09C0 : 00000000;
09C1 : 00000000;
09C2 : 00000000;
09C3 : 00000000;
09C4 : 00000000;
09C5 : 00000000;
09C6 : 00000000;
09C7 : 00000000;
09C8 : 00000000;
09C9 : 00000000;
09CA : 00000000;
09CB : 00000000;
09CC : 00000000;
09CD : 00000000;
09CE : 00000000;
09CF : 00000000;
09D0 : 00000000;
09D1 : 00000000;
09D2 : 00000001;
09D3 : 00000000;
09D4 : ABCD330E;
09D5 : E66D1234;
09D6 : 0005DEEC;
09D7 : 0000000B;
09D8 : 00000000;
09D9 : 00000000;
09DA : 00000000;
09DB : 00000000;
09DC : 00000000;
09DD : 00000000;
09DE : 00000000;
09DF : 00000000;
09E0 : 00000000;
09E1 : 00000000;
09E2 : 00000000;
09E3 : 00000000;
09E4 : 00000000;
09E5 : 00000000;
09E6 : 00000000;
09E7 : 00000000;
09E8 : 00000000;
09E9 : 00000000;
09EA : 00000000;
09EB : 00000000;
09EC : 00000000;
09ED : 00000000;
09EE : 00000000;
09EF : 00000000;
09F0 : 00000000;
09F1 : 00000000;
09F2 : 00000000;
09F3 : 00000000;
09F4 : 00000000;
09F5 : 00000000;
09F6 : 00000000;
09F7 : 00000000;
09F8 : 00000000;
09F9 : 00000000;
09FA : 00000000;
09FB : 00000000;
09FC : 00000000;
09FD : 00000000;
09FE : 00000000;
09FF : 00000000;
0A00 : 00000000;
0A01 : 00000000;
0A02 : 00000000;
0A03 : 00000000;
0A04 : 00000000;
0A05 : 00000000;
0A06 : 00000000;
0A07 : 00000000;
0A08 : 00000000;
0A09 : 00000000;
0A0A : 00000000;
0A0B : 00000000;
0A0C : 00000000;
0A0D : 00000000;
0A0E : 00000000;
0A0F : 00000000;
0A10 : 00000000;
0A11 : 00000000;
0A12 : 00000000;
0A13 : 00000000;
0A14 : 00000000;
0A15 : 00000000;
0A16 : 00000000;
0A17 : 00000000;
0A18 : 00000000;
0A19 : 00000000;
0A1A : 00000000;
0A1B : 00000000;
0A1C : 00000000;
0A1D : 00000000;
0A1E : 00000000;
0A1F : 00000000;
0A20 : 00000000;
0A21 : 00000000;
0A22 : 00000000;
0A23 : 00000000;
0A24 : 00000000;
0A25 : 00000000;
0A26 : 00000000;
0A27 : 00000000;
0A28 : 00000000;
0A29 : 00000000;
0A2A : 00000000;
0A2B : 00000000;
0A2C : 00000000;
0A2D : 00000000;
0A2E : 00000000;
0A2F : 00000000;
0A30 : 00000000;
0A31 : 00000000;
0A32 : 00000000;
0A33 : 00000000;
0A34 : 00000000;
0A35 : 00000000;
0A36 : 00000000;
0A37 : 00000000;
0A38 : 00000000;
0A39 : 00000000;
0A3A : 00000000;
0A3B : 00000000;
0A3C : 00000000;
0A3D : 00000000;
0A3E : 00000000;
0A3F : 00000000;
0A40 : 00000000;
0A41 : 00000000;
0A42 : 00000000;
0A43 : 00000000;
0A44 : 00000000;
0A45 : 00000000;
0A46 : 00000000;
0A47 : 00000000;
0A48 : 00000000;
0A49 : 00000000;
0A4A : 00000000;
0A4B : 00000000;
0A4C : 00000000;
0A4D : 00000000;
0A4E : 00000000;
0A4F : 00000000;
0A50 : 00000000;
0A51 : 00000000;
0A52 : 00000000;
0A53 : 00000000;
0A54 : 00000000;
0A55 : 00000000;
0A56 : 00000000;
0A57 : 00000000;
0A58 : 00000000;
0A59 : 00000000;
0A5A : 00000000;
0A5B : 00000000;
0A5C : 00000000;
0A5D : 00000000;
0A5E : 00000000;
0A5F : 00000000;
0A60 : 00000000;
0A61 : 00000000;
0A62 : 00000000;
0A63 : 00000000;
0A64 : 00000000;
0A65 : 00000000;
0A66 : 00000000;
0A67 : 00000000;
0A68 : 00000000;
0A69 : 00000000;
0A6A : 00000000;
0A6B : 00000000;
0A6C : 00000000;
0A6D : 00000000;
0A6E : 00000000;
0A6F : 00000000;
0A70 : 00000000;
0A71 : 00000000;
0A72 : 00000000;
0A73 : 00000000;
0A74 : 00000000;
0A75 : 00000000;
0A76 : 00000000;
0A77 : 00000000;
0A78 : 00000000;
0A79 : 00000000;
0A7A : 00000000;
0A7B : 00000000;
0A7C : 00000000;
0A7D : 00000000;
0A7E : 00000000;
0A7F : 00000000;
0A80 : 00000000;
0A81 : 00000000;
0A82 : 00000000;
0A83 : 00000000;
0A84 : 00000000;
0A85 : 00000000;
0A86 : 00000000;
0A87 : 00000000;
0A88 : 00000000;
0A89 : 00000000;
0A8A : 00000000;
0A8B : 00000000;
0A8C : 00000000;
0A8D : 00000000;
0A8E : 00000000;
0A8F : 00000000;
0A90 : 00000000;
0A91 : 00000000;
0A92 : 00000000;
0A93 : 00000000;
0A94 : 00000000;
0A95 : 00000000;
0A96 : 00000000;
0A97 : 00000000;
0A98 : 00000000;
0A99 : 00000000;
0A9A : 00000000;
0A9B : 00000000;
0A9C : 00000000;
0A9D : 00000000;
0A9E : 00000000;
0A9F : 00000000;
0AA0 : 00000000;
0AA1 : 00000000;
0AA2 : 00000000;
0AA3 : 00000000;
0AA4 : 00000000;
0AA5 : 00000000;
0AA6 : 00000000;
0AA7 : 00000000;
0AA8 : 00000000;
0AA9 : 00000000;
0AAA : 00000000;
0AAB : 00000000;
0AAC : 00000000;
0AAD : 00000000;
0AAE : 00000000;
0AAF : 00000000;
0AB0 : 00000000;
0AB1 : 00000000;
0AB2 : 000026A0;
0AB3 : F0000100;
0AB4 : 000026A0;
0AB5 : 000026A0;
0AB6 : F0000100;
0AB7 : 000026A0;
0AB8 : CDCDCDCD;
0AB9 : CDCDCDCD;
0ABA : CDCDCDCD;
0ABB : CDCDCDCD;
0ABC : CDCDCDCD;
0ABD : CDCDCDCD;
0ABE : CDCDCDCD;
0ABF : CDCDCDCD;
0AC0 : CDCDCDCD;
0AC1 : CDCDCDCD;
0AC2 : CDCDCDCD;
0AC3 : CDCDCDCD;
0AC4 : CDCDCDCD;
0AC5 : CDCDCDCD;
0AC6 : CDCDCDCD;
0AC7 : CDCDCDCD;
0AC8 : CDCDCDCD;
0AC9 : CDCDCDCD;
0ACA : CDCDCDCD;
0ACB : CDCDCDCD;
0ACC : CDCDCDCD;
0ACD : CDCDCDCD;
0ACE : CDCDCDCD;
0ACF : CDCDCDCD;
0AD0 : CDCDCDCD;
0AD1 : CDCDCDCD;
0AD2 : CDCDCDCD;
0AD3 : CDCDCDCD;
0AD4 : CDCDCDCD;
0AD5 : CDCDCDCD;
0AD6 : CDCDCDCD;
0AD7 : CDCDCDCD;
0AD8 : CDCDCDCD;
0AD9 : CDCDCDCD;
0ADA : CDCDCDCD;
0ADB : CDCDCDCD;
0ADC : CDCDCDCD;
0ADD : CDCDCDCD;
0ADE : CDCDCDCD;
0ADF : CDCDCDCD;
0AE0 : CDCDCDCD;
0AE1 : CDCDCDCD;
0AE2 : CDCDCDCD;
0AE3 : CDCDCDCD;
0AE4 : CDCDCDCD;
0AE5 : CDCDCDCD;
0AE6 : CDCDCDCD;
0AE7 : CDCDCDCD;
0AE8 : CDCDCDCD;
0AE9 : CDCDCDCD;
0AEA : CDCDCDCD;
0AEB : CDCDCDCD;
0AEC : CDCDCDCD;
0AED : CDCDCDCD;
0AEE : CDCDCDCD;
0AEF : CDCDCDCD;
0AF0 : CDCDCDCD;
0AF1 : CDCDCDCD;
0AF2 : CDCDCDCD;
0AF3 : CDCDCDCD;
0AF4 : CDCDCDCD;
0AF5 : CDCDCDCD;
0AF6 : CDCDCDCD;
0AF7 : CDCDCDCD;
0AF8 : CDCDCDCD;
0AF9 : CDCDCDCD;
0AFA : CDCDCDCD;
0AFB : CDCDCDCD;
0AFC : CDCDCDCD;
0AFD : CDCDCDCD;
0AFE : CDCDCDCD;
0AFF : CDCDCDCD;
0B00 : CDCDCDCD;
0B01 : CDCDCDCD;
0B02 : CDCDCDCD;
0B03 : CDCDCDCD;
0B04 : CDCDCDCD;
0B05 : CDCDCDCD;
0B06 : CDCDCDCD;
0B07 : CDCDCDCD;
0B08 : CDCDCDCD;
0B09 : CDCDCDCD;
0B0A : CDCDCDCD;
0B0B : CDCDCDCD;
0B0C : CDCDCDCD;
0B0D : CDCDCDCD;
0B0E : CDCDCDCD;
0B0F : CDCDCDCD;
0B10 : CDCDCDCD;
0B11 : CDCDCDCD;
0B12 : CDCDCDCD;
0B13 : CDCDCDCD;
0B14 : CDCDCDCD;
0B15 : CDCDCDCD;
0B16 : CDCDCDCD;
0B17 : CDCDCDCD;
0B18 : CDCDCDCD;
0B19 : CDCDCDCD;
0B1A : CDCDCDCD;
0B1B : CDCDCDCD;
0B1C : CDCDCDCD;
0B1D : CDCDCDCD;
0B1E : CDCDCDCD;
0B1F : CDCDCDCD;
0B20 : CDCDCDCD;
0B21 : CDCDCDCD;
0B22 : CDCDCDCD;
0B23 : CDCDCDCD;
0B24 : CDCDCDCD;
0B25 : CDCDCDCD;
0B26 : CDCDCDCD;
0B27 : CDCDCDCD;
0B28 : CDCDCDCD;
0B29 : CDCDCDCD;
0B2A : CDCDCDCD;
0B2B : CDCDCDCD;
0B2C : CDCDCDCD;
0B2D : CDCDCDCD;
0B2E : CDCDCDCD;
0B2F : CDCDCDCD;
0B30 : CDCDCDCD;
0B31 : CDCDCDCD;
0B32 : CDCDCDCD;
0B33 : CDCDCDCD;
0B34 : CDCDCDCD;
0B35 : CDCDCDCD;
0B36 : CDCDCDCD;
0B37 : CDCDCDCD;
0B38 : CDCDCDCD;
0B39 : CDCDCDCD;
0B3A : CDCDCDCD;
0B3B : CDCDCDCD;
0B3C : CDCDCDCD;
0B3D : CDCDCDCD;
0B3E : CDCDCDCD;
0B3F : CDCDCDCD;
0B40 : CDCDCDCD;
0B41 : CDCDCDCD;
0B42 : CDCDCDCD;
0B43 : CDCDCDCD;
0B44 : CDCDCDCD;
0B45 : CDCDCDCD;
0B46 : CDCDCDCD;
0B47 : CDCDCDCD;
0B48 : CDCDCDCD;
0B49 : CDCDCDCD;
0B4A : CDCDCDCD;
0B4B : CDCDCDCD;
0B4C : CDCDCDCD;
0B4D : CDCDCDCD;
0B4E : CDCDCDCD;
0B4F : CDCDCDCD;
0B50 : CDCDCDCD;
0B51 : CDCDCDCD;
0B52 : CDCDCDCD;
0B53 : CDCDCDCD;
0B54 : CDCDCDCD;
0B55 : CDCDCDCD;
0B56 : CDCDCDCD;
0B57 : CDCDCDCD;
0B58 : CDCDCDCD;
0B59 : CDCDCDCD;
0B5A : CDCDCDCD;
0B5B : CDCDCDCD;
0B5C : CDCDCDCD;
0B5D : CDCDCDCD;
0B5E : CDCDCDCD;
0B5F : CDCDCDCD;
0B60 : CDCDCDCD;
0B61 : CDCDCDCD;
0B62 : CDCDCDCD;
0B63 : CDCDCDCD;
0B64 : CDCDCDCD;
0B65 : CDCDCDCD;
0B66 : CDCDCDCD;
0B67 : CDCDCDCD;
0B68 : CDCDCDCD;
0B69 : CDCDCDCD;
0B6A : CDCDCDCD;
0B6B : CDCDCDCD;
0B6C : CDCDCDCD;
0B6D : CDCDCDCD;
0B6E : CDCDCDCD;
0B6F : CDCDCDCD;
0B70 : CDCDCDCD;
0B71 : CDCDCDCD;
0B72 : CDCDCDCD;
0B73 : CDCDCDCD;
0B74 : CDCDCDCD;
0B75 : CDCDCDCD;
0B76 : CDCDCDCD;
0B77 : CDCDCDCD;
0B78 : CDCDCDCD;
0B79 : CDCDCDCD;
0B7A : CDCDCDCD;
0B7B : CDCDCDCD;
0B7C : CDCDCDCD;
0B7D : CDCDCDCD;
0B7E : CDCDCDCD;
0B7F : CDCDCDCD;
0B80 : CDCDCDCD;
0B81 : CDCDCDCD;
0B82 : CDCDCDCD;
0B83 : CDCDCDCD;
0B84 : CDCDCDCD;
0B85 : CDCDCDCD;
0B86 : CDCDCDCD;
0B87 : CDCDCDCD;
0B88 : CDCDCDCD;
0B89 : CDCDCDCD;
0B8A : CDCDCDCD;
0B8B : CDCDCDCD;
0B8C : CDCDCDCD;
0B8D : CDCDCDCD;
0B8E : CDCDCDCD;
0B8F : CDCDCDCD;
0B90 : CDCDCDCD;
0B91 : CDCDCDCD;
0B92 : CDCDCDCD;
0B93 : CDCDCDCD;
0B94 : CDCDCDCD;
0B95 : CDCDCDCD;
0B96 : CDCDCDCD;
0B97 : CDCDCDCD;
0B98 : CDCDCDCD;
0B99 : CDCDCDCD;
0B9A : CDCDCDCD;
0B9B : CDCDCDCD;
0B9C : CDCDCDCD;
0B9D : CDCDCDCD;
0B9E : CDCDCDCD;
0B9F : CDCDCDCD;
0BA0 : CDCDCDCD;
0BA1 : CDCDCDCD;
0BA2 : CDCDCDCD;
0BA3 : CDCDCDCD;
0BA4 : CDCDCDCD;
0BA5 : CDCDCDCD;
0BA6 : CDCDCDCD;
0BA7 : CDCDCDCD;
0BA8 : CDCDCDCD;
0BA9 : CDCDCDCD;
0BAA : CDCDCDCD;
0BAB : CDCDCDCD;
0BAC : CDCDCDCD;
0BAD : CDCDCDCD;
0BAE : CDCDCDCD;
0BAF : CDCDCDCD;
0BB0 : CDCDCDCD;
0BB1 : CDCDCDCD;
0BB2 : CDCDCDCD;
0BB3 : CDCDCDCD;
0BB4 : CDCDCDCD;
0BB5 : CDCDCDCD;
0BB6 : CDCDCDCD;
0BB7 : CDCDCDCD;
0BB8 : CDCDCDCD;
0BB9 : CDCDCDCD;
0BBA : CDCDCDCD;
0BBB : CDCDCDCD;
0BBC : CDCDCDCD;
0BBD : CDCDCDCD;
0BBE : CDCDCDCD;
0BBF : CDCDCDCD;
0BC0 : CDCDCDCD;
0BC1 : CDCDCDCD;
0BC2 : CDCDCDCD;
0BC3 : CDCDCDCD;
0BC4 : CDCDCDCD;
0BC5 : CDCDCDCD;
0BC6 : CDCDCDCD;
0BC7 : CDCDCDCD;
0BC8 : CDCDCDCD;
0BC9 : CDCDCDCD;
0BCA : CDCDCDCD;
0BCB : CDCDCDCD;
0BCC : CDCDCDCD;
0BCD : CDCDCDCD;
0BCE : CDCDCDCD;
0BCF : CDCDCDCD;
0BD0 : CDCDCDCD;
0BD1 : CDCDCDCD;
0BD2 : CDCDCDCD;
0BD3 : CDCDCDCD;
0BD4 : CDCDCDCD;
0BD5 : CDCDCDCD;
0BD6 : CDCDCDCD;
0BD7 : CDCDCDCD;
0BD8 : CDCDCDCD;
0BD9 : CDCDCDCD;
0BDA : CDCDCDCD;
0BDB : CDCDCDCD;
0BDC : CDCDCDCD;
0BDD : CDCDCDCD;
0BDE : CDCDCDCD;
0BDF : CDCDCDCD;
0BE0 : CDCDCDCD;
0BE1 : CDCDCDCD;
0BE2 : CDCDCDCD;
0BE3 : CDCDCDCD;
0BE4 : CDCDCDCD;
0BE5 : CDCDCDCD;
0BE6 : CDCDCDCD;
0BE7 : CDCDCDCD;
0BE8 : CDCDCDCD;
0BE9 : CDCDCDCD;
0BEA : CDCDCDCD;
0BEB : CDCDCDCD;
0BEC : CDCDCDCD;
0BED : CDCDCDCD;
0BEE : CDCDCDCD;
0BEF : CDCDCDCD;
0BF0 : CDCDCDCD;
0BF1 : CDCDCDCD;
0BF2 : CDCDCDCD;
0BF3 : CDCDCDCD;
0BF4 : CDCDCDCD;
0BF5 : CDCDCDCD;
0BF6 : CDCDCDCD;
0BF7 : CDCDCDCD;
0BF8 : CDCDCDCD;
0BF9 : CDCDCDCD;
0BFA : CDCDCDCD;
0BFB : CDCDCDCD;
0BFC : CDCDCDCD;
0BFD : CDCDCDCD;
0BFE : CDCDCDCD;
0BFF : CDCDCDCD;
0C00 : CDCDCDCD;
0C01 : CDCDCDCD;
0C02 : CDCDCDCD;
0C03 : CDCDCDCD;
0C04 : CDCDCDCD;
0C05 : CDCDCDCD;
0C06 : CDCDCDCD;
0C07 : CDCDCDCD;
0C08 : CDCDCDCD;
0C09 : CDCDCDCD;
0C0A : CDCDCDCD;
0C0B : CDCDCDCD;
0C0C : CDCDCDCD;
0C0D : CDCDCDCD;
0C0E : CDCDCDCD;
0C0F : CDCDCDCD;
0C10 : CDCDCDCD;
0C11 : CDCDCDCD;
0C12 : CDCDCDCD;
0C13 : CDCDCDCD;
0C14 : CDCDCDCD;
0C15 : CDCDCDCD;
0C16 : CDCDCDCD;
0C17 : CDCDCDCD;
0C18 : CDCDCDCD;
0C19 : CDCDCDCD;
0C1A : CDCDCDCD;
0C1B : CDCDCDCD;
0C1C : CDCDCDCD;
0C1D : CDCDCDCD;
0C1E : CDCDCDCD;
0C1F : CDCDCDCD;
0C20 : CDCDCDCD;
0C21 : CDCDCDCD;
0C22 : CDCDCDCD;
0C23 : CDCDCDCD;
0C24 : CDCDCDCD;
0C25 : CDCDCDCD;
0C26 : CDCDCDCD;
0C27 : CDCDCDCD;
0C28 : CDCDCDCD;
0C29 : CDCDCDCD;
0C2A : CDCDCDCD;
0C2B : CDCDCDCD;
0C2C : CDCDCDCD;
0C2D : CDCDCDCD;
0C2E : CDCDCDCD;
0C2F : CDCDCDCD;
0C30 : CDCDCDCD;
0C31 : CDCDCDCD;
0C32 : CDCDCDCD;
0C33 : CDCDCDCD;
0C34 : CDCDCDCD;
0C35 : CDCDCDCD;
0C36 : CDCDCDCD;
0C37 : CDCDCDCD;
0C38 : CDCDCDCD;
0C39 : CDCDCDCD;
0C3A : CDCDCDCD;
0C3B : CDCDCDCD;
0C3C : CDCDCDCD;
0C3D : CDCDCDCD;
0C3E : CDCDCDCD;
0C3F : CDCDCDCD;
0C40 : CDCDCDCD;
0C41 : CDCDCDCD;
0C42 : CDCDCDCD;
0C43 : CDCDCDCD;
0C44 : CDCDCDCD;
0C45 : CDCDCDCD;
0C46 : CDCDCDCD;
0C47 : CDCDCDCD;
0C48 : CDCDCDCD;
0C49 : CDCDCDCD;
0C4A : CDCDCDCD;
0C4B : CDCDCDCD;
0C4C : CDCDCDCD;
0C4D : CDCDCDCD;
0C4E : CDCDCDCD;
0C4F : CDCDCDCD;
0C50 : CDCDCDCD;
0C51 : CDCDCDCD;
0C52 : CDCDCDCD;
0C53 : CDCDCDCD;
0C54 : CDCDCDCD;
0C55 : CDCDCDCD;
0C56 : CDCDCDCD;
0C57 : CDCDCDCD;
0C58 : CDCDCDCD;
0C59 : CDCDCDCD;
0C5A : CDCDCDCD;
0C5B : CDCDCDCD;
0C5C : CDCDCDCD;
0C5D : CDCDCDCD;
0C5E : CDCDCDCD;
0C5F : CDCDCDCD;
0C60 : CDCDCDCD;
0C61 : CDCDCDCD;
0C62 : CDCDCDCD;
0C63 : CDCDCDCD;
0C64 : CDCDCDCD;
0C65 : CDCDCDCD;
0C66 : CDCDCDCD;
0C67 : CDCDCDCD;
0C68 : CDCDCDCD;
0C69 : CDCDCDCD;
0C6A : CDCDCDCD;
0C6B : CDCDCDCD;
0C6C : CDCDCDCD;
0C6D : CDCDCDCD;
0C6E : CDCDCDCD;
0C6F : CDCDCDCD;
0C70 : CDCDCDCD;
0C71 : CDCDCDCD;
0C72 : CDCDCDCD;
0C73 : CDCDCDCD;
0C74 : CDCDCDCD;
0C75 : CDCDCDCD;
0C76 : CDCDCDCD;
0C77 : CDCDCDCD;
0C78 : CDCDCDCD;
0C79 : CDCDCDCD;
0C7A : CDCDCDCD;
0C7B : CDCDCDCD;
0C7C : CDCDCDCD;
0C7D : CDCDCDCD;
0C7E : CDCDCDCD;
0C7F : CDCDCDCD;
0C80 : CDCDCDCD;
0C81 : CDCDCDCD;
0C82 : CDCDCDCD;
0C83 : CDCDCDCD;
0C84 : CDCDCDCD;
0C85 : CDCDCDCD;
0C86 : CDCDCDCD;
0C87 : CDCDCDCD;
0C88 : CDCDCDCD;
0C89 : CDCDCDCD;
0C8A : CDCDCDCD;
0C8B : CDCDCDCD;
0C8C : CDCDCDCD;
0C8D : CDCDCDCD;
0C8E : CDCDCDCD;
0C8F : CDCDCDCD;
0C90 : CDCDCDCD;
0C91 : CDCDCDCD;
0C92 : CDCDCDCD;
0C93 : CDCDCDCD;
0C94 : CDCDCDCD;
0C95 : CDCDCDCD;
0C96 : CDCDCDCD;
0C97 : CDCDCDCD;
0C98 : CDCDCDCD;
0C99 : CDCDCDCD;
0C9A : CDCDCDCD;
0C9B : CDCDCDCD;
0C9C : CDCDCDCD;
0C9D : CDCDCDCD;
0C9E : CDCDCDCD;
0C9F : CDCDCDCD;
0CA0 : CDCDCDCD;
0CA1 : CDCDCDCD;
0CA2 : CDCDCDCD;
0CA3 : CDCDCDCD;
0CA4 : CDCDCDCD;
0CA5 : CDCDCDCD;
0CA6 : CDCDCDCD;
0CA7 : CDCDCDCD;
0CA8 : CDCDCDCD;
0CA9 : CDCDCDCD;
0CAA : CDCDCDCD;
0CAB : CDCDCDCD;
0CAC : CDCDCDCD;
0CAD : CDCDCDCD;
0CAE : CDCDCDCD;
0CAF : CDCDCDCD;
0CB0 : CDCDCDCD;
0CB1 : CDCDCDCD;
0CB2 : CDCDCDCD;
0CB3 : CDCDCDCD;
0CB4 : CDCDCDCD;
0CB5 : CDCDCDCD;
0CB6 : CDCDCDCD;
0CB7 : CDCDCDCD;
0CB8 : CDCDCDCD;
0CB9 : CDCDCDCD;
0CBA : CDCDCDCD;
0CBB : CDCDCDCD;
0CBC : CDCDCDCD;
0CBD : CDCDCDCD;
0CBE : CDCDCDCD;
0CBF : CDCDCDCD;
0CC0 : CDCDCDCD;
0CC1 : CDCDCDCD;
0CC2 : CDCDCDCD;
0CC3 : CDCDCDCD;
0CC4 : CDCDCDCD;
0CC5 : CDCDCDCD;
0CC6 : CDCDCDCD;
0CC7 : CDCDCDCD;
0CC8 : CDCDCDCD;
0CC9 : CDCDCDCD;
0CCA : CDCDCDCD;
0CCB : CDCDCDCD;
0CCC : CDCDCDCD;
0CCD : CDCDCDCD;
0CCE : CDCDCDCD;
0CCF : CDCDCDCD;
0CD0 : CDCDCDCD;
0CD1 : CDCDCDCD;
0CD2 : CDCDCDCD;
0CD3 : CDCDCDCD;
0CD4 : CDCDCDCD;
0CD5 : CDCDCDCD;
0CD6 : CDCDCDCD;
0CD7 : CDCDCDCD;
0CD8 : CDCDCDCD;
0CD9 : CDCDCDCD;
0CDA : CDCDCDCD;
0CDB : CDCDCDCD;
0CDC : CDCDCDCD;
0CDD : CDCDCDCD;
0CDE : CDCDCDCD;
0CDF : CDCDCDCD;
0CE0 : CDCDCDCD;
0CE1 : CDCDCDCD;
0CE2 : CDCDCDCD;
0CE3 : CDCDCDCD;
0CE4 : CDCDCDCD;
0CE5 : CDCDCDCD;
0CE6 : CDCDCDCD;
0CE7 : CDCDCDCD;
0CE8 : CDCDCDCD;
0CE9 : CDCDCDCD;
0CEA : CDCDCDCD;
0CEB : CDCDCDCD;
0CEC : CDCDCDCD;
0CED : CDCDCDCD;
0CEE : CDCDCDCD;
0CEF : CDCDCDCD;
0CF0 : CDCDCDCD;
0CF1 : CDCDCDCD;
0CF2 : CDCDCDCD;
0CF3 : CDCDCDCD;
0CF4 : CDCDCDCD;
0CF5 : CDCDCDCD;
0CF6 : CDCDCDCD;
0CF7 : CDCDCDCD;
0CF8 : CDCDCDCD;
0CF9 : CDCDCDCD;
0CFA : CDCDCDCD;
0CFB : CDCDCDCD;
0CFC : CDCDCDCD;
0CFD : CDCDCDCD;
0CFE : CDCDCDCD;
0CFF : CDCDCDCD;
0D00 : CDCDCDCD;
0D01 : CDCDCDCD;
0D02 : CDCDCDCD;
0D03 : CDCDCDCD;
0D04 : CDCDCDCD;
0D05 : CDCDCDCD;
0D06 : CDCDCDCD;
0D07 : CDCDCDCD;
0D08 : CDCDCDCD;
0D09 : CDCDCDCD;
0D0A : CDCDCDCD;
0D0B : CDCDCDCD;
0D0C : CDCDCDCD;
0D0D : CDCDCDCD;
0D0E : CDCDCDCD;
0D0F : CDCDCDCD;
0D10 : CDCDCDCD;
0D11 : CDCDCDCD;
0D12 : CDCDCDCD;
0D13 : CDCDCDCD;
0D14 : CDCDCDCD;
0D15 : CDCDCDCD;
0D16 : CDCDCDCD;
0D17 : CDCDCDCD;
0D18 : CDCDCDCD;
0D19 : CDCDCDCD;
0D1A : CDCDCDCD;
0D1B : CDCDCDCD;
0D1C : CDCDCDCD;
0D1D : CDCDCDCD;
0D1E : CDCDCDCD;
0D1F : CDCDCDCD;
0D20 : CDCDCDCD;
0D21 : CDCDCDCD;
0D22 : CDCDCDCD;
0D23 : CDCDCDCD;
0D24 : CDCDCDCD;
0D25 : CDCDCDCD;
0D26 : CDCDCDCD;
0D27 : CDCDCDCD;
0D28 : CDCDCDCD;
0D29 : CDCDCDCD;
0D2A : CDCDCDCD;
0D2B : CDCDCDCD;
0D2C : CDCDCDCD;
0D2D : CDCDCDCD;
0D2E : CDCDCDCD;
0D2F : CDCDCDCD;
0D30 : CDCDCDCD;
0D31 : CDCDCDCD;
0D32 : CDCDCDCD;
0D33 : CDCDCDCD;
0D34 : CDCDCDCD;
0D35 : CDCDCDCD;
0D36 : CDCDCDCD;
0D37 : CDCDCDCD;
0D38 : CDCDCDCD;
0D39 : CDCDCDCD;
0D3A : CDCDCDCD;
0D3B : CDCDCDCD;
0D3C : CDCDCDCD;
0D3D : CDCDCDCD;
0D3E : CDCDCDCD;
0D3F : CDCDCDCD;
0D40 : CDCDCDCD;
0D41 : CDCDCDCD;
0D42 : CDCDCDCD;
0D43 : CDCDCDCD;
0D44 : CDCDCDCD;
0D45 : CDCDCDCD;
0D46 : CDCDCDCD;
0D47 : CDCDCDCD;
0D48 : CDCDCDCD;
0D49 : CDCDCDCD;
0D4A : CDCDCDCD;
0D4B : CDCDCDCD;
0D4C : CDCDCDCD;
0D4D : CDCDCDCD;
0D4E : CDCDCDCD;
0D4F : CDCDCDCD;
0D50 : CDCDCDCD;
0D51 : CDCDCDCD;
0D52 : CDCDCDCD;
0D53 : CDCDCDCD;
0D54 : CDCDCDCD;
0D55 : CDCDCDCD;
0D56 : CDCDCDCD;
0D57 : CDCDCDCD;
0D58 : CDCDCDCD;
0D59 : CDCDCDCD;
0D5A : CDCDCDCD;
0D5B : CDCDCDCD;
0D5C : CDCDCDCD;
0D5D : CDCDCDCD;
0D5E : CDCDCDCD;
0D5F : CDCDCDCD;
0D60 : CDCDCDCD;
0D61 : CDCDCDCD;
0D62 : CDCDCDCD;
0D63 : CDCDCDCD;
0D64 : CDCDCDCD;
0D65 : CDCDCDCD;
0D66 : CDCDCDCD;
0D67 : CDCDCDCD;
0D68 : CDCDCDCD;
0D69 : CDCDCDCD;
0D6A : CDCDCDCD;
0D6B : CDCDCDCD;
0D6C : CDCDCDCD;
0D6D : CDCDCDCD;
0D6E : CDCDCDCD;
0D6F : CDCDCDCD;
0D70 : CDCDCDCD;
0D71 : CDCDCDCD;
0D72 : CDCDCDCD;
0D73 : CDCDCDCD;
0D74 : CDCDCDCD;
0D75 : CDCDCDCD;
0D76 : CDCDCDCD;
0D77 : CDCDCDCD;
0D78 : CDCDCDCD;
0D79 : CDCDCDCD;
0D7A : CDCDCDCD;
0D7B : CDCDCDCD;
0D7C : CDCDCDCD;
0D7D : CDCDCDCD;
0D7E : CDCDCDCD;
0D7F : CDCDCDCD;
0D80 : CDCDCDCD;
0D81 : CDCDCDCD;
0D82 : CDCDCDCD;
0D83 : CDCDCDCD;
0D84 : CDCDCDCD;
0D85 : CDCDCDCD;
0D86 : CDCDCDCD;
0D87 : CDCDCDCD;
0D88 : CDCDCDCD;
0D89 : CDCDCDCD;
0D8A : CDCDCDCD;
0D8B : CDCDCDCD;
0D8C : CDCDCDCD;
0D8D : CDCDCDCD;
0D8E : CDCDCDCD;
0D8F : CDCDCDCD;
0D90 : CDCDCDCD;
0D91 : CDCDCDCD;
0D92 : CDCDCDCD;
0D93 : CDCDCDCD;
0D94 : CDCDCDCD;
0D95 : CDCDCDCD;
0D96 : CDCDCDCD;
0D97 : CDCDCDCD;
0D98 : CDCDCDCD;
0D99 : CDCDCDCD;
0D9A : CDCDCDCD;
0D9B : CDCDCDCD;
0D9C : CDCDCDCD;
0D9D : CDCDCDCD;
0D9E : CDCDCDCD;
0D9F : CDCDCDCD;
0DA0 : CDCDCDCD;
0DA1 : CDCDCDCD;
0DA2 : CDCDCDCD;
0DA3 : CDCDCDCD;
0DA4 : CDCDCDCD;
0DA5 : CDCDCDCD;
0DA6 : CDCDCDCD;
0DA7 : CDCDCDCD;
0DA8 : CDCDCDCD;
0DA9 : CDCDCDCD;
0DAA : CDCDCDCD;
0DAB : CDCDCDCD;
0DAC : CDCDCDCD;
0DAD : CDCDCDCD;
0DAE : CDCDCDCD;
0DAF : CDCDCDCD;
0DB0 : CDCDCDCD;
0DB1 : CDCDCDCD;
0DB2 : CDCDCDCD;
0DB3 : CDCDCDCD;
0DB4 : CDCDCDCD;
0DB5 : CDCDCDCD;
0DB6 : CDCDCDCD;
0DB7 : CDCDCDCD;
0DB8 : CDCDCDCD;
0DB9 : CDCDCDCD;
0DBA : CDCDCDCD;
0DBB : CDCDCDCD;
0DBC : CDCDCDCD;
0DBD : CDCDCDCD;
0DBE : CDCDCDCD;
0DBF : CDCDCDCD;
0DC0 : CDCDCDCD;
0DC1 : CDCDCDCD;
0DC2 : CDCDCDCD;
0DC3 : CDCDCDCD;
0DC4 : CDCDCDCD;
0DC5 : CDCDCDCD;
0DC6 : CDCDCDCD;
0DC7 : CDCDCDCD;
0DC8 : CDCDCDCD;
0DC9 : CDCDCDCD;
0DCA : CDCDCDCD;
0DCB : CDCDCDCD;
0DCC : CDCDCDCD;
0DCD : CDCDCDCD;
0DCE : CDCDCDCD;
0DCF : CDCDCDCD;
0DD0 : CDCDCDCD;
0DD1 : CDCDCDCD;
0DD2 : CDCDCDCD;
0DD3 : CDCDCDCD;
0DD4 : CDCDCDCD;
0DD5 : CDCDCDCD;
0DD6 : CDCDCDCD;
0DD7 : CDCDCDCD;
0DD8 : CDCDCDCD;
0DD9 : CDCDCDCD;
0DDA : CDCDCDCD;
0DDB : CDCDCDCD;
0DDC : CDCDCDCD;
0DDD : CDCDCDCD;
0DDE : CDCDCDCD;
0DDF : CDCDCDCD;
0DE0 : CDCDCDCD;
0DE1 : CDCDCDCD;
0DE2 : CDCDCDCD;
0DE3 : CDCDCDCD;
0DE4 : CDCDCDCD;
0DE5 : CDCDCDCD;
0DE6 : CDCDCDCD;
0DE7 : CDCDCDCD;
0DE8 : CDCDCDCD;
0DE9 : CDCDCDCD;
0DEA : CDCDCDCD;
0DEB : CDCDCDCD;
0DEC : CDCDCDCD;
0DED : CDCDCDCD;
0DEE : CDCDCDCD;
0DEF : CDCDCDCD;
0DF0 : CDCDCDCD;
0DF1 : CDCDCDCD;
0DF2 : CDCDCDCD;
0DF3 : CDCDCDCD;
0DF4 : CDCDCDCD;
0DF5 : CDCDCDCD;
0DF6 : CDCDCDCD;
0DF7 : CDCDCDCD;
0DF8 : CDCDCDCD;
0DF9 : CDCDCDCD;
0DFA : CDCDCDCD;
0DFB : CDCDCDCD;
0DFC : CDCDCDCD;
0DFD : CDCDCDCD;
0DFE : CDCDCDCD;
0DFF : CDCDCDCD;
0E00 : CDCDCDCD;
0E01 : CDCDCDCD;
0E02 : CDCDCDCD;
0E03 : CDCDCDCD;
0E04 : CDCDCDCD;
0E05 : CDCDCDCD;
0E06 : CDCDCDCD;
0E07 : CDCDCDCD;
0E08 : CDCDCDCD;
0E09 : CDCDCDCD;
0E0A : CDCDCDCD;
0E0B : CDCDCDCD;
0E0C : CDCDCDCD;
0E0D : CDCDCDCD;
0E0E : CDCDCDCD;
0E0F : CDCDCDCD;
0E10 : CDCDCDCD;
0E11 : CDCDCDCD;
0E12 : CDCDCDCD;
0E13 : CDCDCDCD;
0E14 : CDCDCDCD;
0E15 : CDCDCDCD;
0E16 : CDCDCDCD;
0E17 : CDCDCDCD;
0E18 : CDCDCDCD;
0E19 : CDCDCDCD;
0E1A : CDCDCDCD;
0E1B : CDCDCDCD;
0E1C : CDCDCDCD;
0E1D : CDCDCDCD;
0E1E : CDCDCDCD;
0E1F : CDCDCDCD;
0E20 : CDCDCDCD;
0E21 : CDCDCDCD;
0E22 : CDCDCDCD;
0E23 : CDCDCDCD;
0E24 : CDCDCDCD;
0E25 : CDCDCDCD;
0E26 : CDCDCDCD;
0E27 : CDCDCDCD;
0E28 : CDCDCDCD;
0E29 : CDCDCDCD;
0E2A : CDCDCDCD;
0E2B : CDCDCDCD;
0E2C : CDCDCDCD;
0E2D : CDCDCDCD;
0E2E : CDCDCDCD;
0E2F : CDCDCDCD;
0E30 : CDCDCDCD;
0E31 : CDCDCDCD;
0E32 : CDCDCDCD;
0E33 : CDCDCDCD;
0E34 : CDCDCDCD;
0E35 : CDCDCDCD;
0E36 : CDCDCDCD;
0E37 : CDCDCDCD;
0E38 : CDCDCDCD;
0E39 : CDCDCDCD;
0E3A : CDCDCDCD;
0E3B : CDCDCDCD;
0E3C : CDCDCDCD;
0E3D : CDCDCDCD;
0E3E : CDCDCDCD;
0E3F : CDCDCDCD;
0E40 : CDCDCDCD;
0E41 : CDCDCDCD;
0E42 : CDCDCDCD;
0E43 : CDCDCDCD;
0E44 : CDCDCDCD;
0E45 : CDCDCDCD;
0E46 : CDCDCDCD;
0E47 : CDCDCDCD;
0E48 : CDCDCDCD;
0E49 : CDCDCDCD;
0E4A : CDCDCDCD;
0E4B : CDCDCDCD;
0E4C : CDCDCDCD;
0E4D : CDCDCDCD;
0E4E : CDCDCDCD;
0E4F : CDCDCDCD;
0E50 : CDCDCDCD;
0E51 : CDCDCDCD;
0E52 : CDCDCDCD;
0E53 : CDCDCDCD;
0E54 : CDCDCDCD;
0E55 : CDCDCDCD;
0E56 : CDCDCDCD;
0E57 : CDCDCDCD;
0E58 : CDCDCDCD;
0E59 : CDCDCDCD;
0E5A : CDCDCDCD;
0E5B : CDCDCDCD;
0E5C : CDCDCDCD;
0E5D : CDCDCDCD;
......@@ -4703,343 +4703,343 @@ BEGIN
1258 : CDCDCDCD;
1259 : CDCDCDCD;
125A : CDCDCDCD;
125B : 00000010;
125C : 00000000;
125D : 00527A03;
125E : 01017C01;
125F : 00020D1B;
1260 : 00000010;
1261 : 00000018;
1262 : FFFFD708;
1263 : 00000478;
1264 : 00000000;
1265 : 00000010;
1266 : 0000002C;
1267 : FFFFDB6C;
1268 : 00000450;
1269 : 00000000;
126A : 00000010;
126B : 00000040;
126C : FFFFDFA8;
126D : 00000430;
126E : 00000000;
126F : 00000000;
1270 : 00000074;
1271 : 00000120;
1272 : 000000DC;
125B : CDCDCDCD;
125C : CDCDCDCD;
125D : CDCDCDCD;
125E : CDCDCDCD;
125F : CDCDCDCD;
1260 : CDCDCDCD;
1261 : CDCDCDCD;
1262 : CDCDCDCD;
1263 : CDCDCDCD;
1264 : CDCDCDCD;
1265 : CDCDCDCD;
1266 : CDCDCDCD;
1267 : CDCDCDCD;
1268 : CDCDCDCD;
1269 : CDCDCDCD;
126A : CDCDCDCD;
126B : CDCDCDCD;
126C : CDCDCDCD;
126D : CDCDCDCD;
126E : CDCDCDCD;
126F : CDCDCDCD;
1270 : CDCDCDCD;
1271 : CDCDCDCD;
1272 : CDCDCDCD;
1273 : CDCDCDCD;
1274 : 00002000;
1275 : 0000200C;
1276 : 00002018;
1277 : 00002024;
1278 : 00002030;
1279 : 0000203C;
127A : 00002048;
127B : 00002054;
127C : 00002060;
127D : 0000206C;
127E : 000032E4;
127F : 000032F0;
1280 : 000032FC;
1281 : 00003308;
1282 : 00003314;
1283 : 00003320;
1284 : 0000332C;
1285 : 00003338;
1286 : 00003344;
1287 : 00003350;
1288 : 00000FA4;
1289 : 000010A4;
128A : 000011A4;
128B : 000012A4;
128C : 000013A4;
128D : 000014A4;
128E : 000015A4;
128F : 000016A4;
1290 : 000017A4;
1291 : 000018A4;
1292 : 0000379C;
1293 : 000037A8;
1294 : 000037B4;
1295 : 000037C0;
1296 : 000037CC;
1297 : 000037D8;
1298 : 000037E4;
1299 : 000037F0;
129A : 000037FC;
129B : 00003808;
129C : 00000000;
129D : 00004D5C;
129E : 00004DC4;
129F : 00004E2C;
12A0 : 00000000;
12A1 : 00000000;
12A2 : 00000000;
12A3 : 00000000;
12A4 : 00000000;
12A5 : 00000000;
12A6 : 00000000;
12A7 : 00000000;
12A8 : 00000000;
12A9 : 00000000;
12AA : 00000000;
12AB : 00000000;
12AC : 00000000;
12AD : 00000000;
12AE : 00000000;
12AF : 00000000;
12B0 : 00000000;
12B1 : 00000000;
12B2 : 00000000;
12B3 : 00000000;
12B4 : 00000000;
12B5 : 00000000;
12B6 : 00000000;
12B7 : 00000000;
12B8 : 00000000;
12B9 : 00000000;
12BA : 00000000;
12BB : 00000000;
12BC : 00000000;
12BD : 00000000;
12BE : 00000000;
12BF : 00000000;
12C0 : 00000000;
12C1 : 00000000;
12C2 : 00000000;
12C3 : 00000000;
12C4 : 00000000;
12C5 : 00000000;
12C6 : 00000001;
12C7 : 00000000;
12C8 : ABCD330E;
12C9 : E66D1234;
12CA : 0005DEEC;
12CB : 0000000B;
12CC : 00000000;
12CD : 00000000;
12CE : 00000000;
12CF : 00000000;
12D0 : 00000000;
12D1 : 00000000;
12D2 : 00000000;
12D3 : 00000000;
12D4 : 00000000;
12D5 : 00000000;
12D6 : 00000000;
12D7 : 00000000;
12D8 : 00000000;
12D9 : 00000000;
12DA : 00000000;
12DB : 00000000;
12DC : 00000000;
12DD : 00000000;
12DE : 00000000;
12DF : 00000000;
12E0 : 00000000;
12E1 : 00000000;
12E2 : 00000000;
12E3 : 00000000;
12E4 : 00000000;
12E5 : 00000000;
12E6 : 00000000;
12E7 : 00000000;
12E8 : 00000000;
12E9 : 00000000;
12EA : 00000000;
12EB : 00000000;
12EC : 00000000;
12ED : 00000000;
12EE : 00000000;
12EF : 00000000;
12F0 : 00000000;
12F1 : 00000000;
12F2 : 00000000;
12F3 : 00000000;
12F4 : 00000000;
12F5 : 00000000;
12F6 : 00000000;
12F7 : 00000000;
12F8 : 00000000;
12F9 : 00000000;
12FA : 00000000;
12FB : 00000000;
12FC : 00000000;
12FD : 00000000;
12FE : 00000000;
12FF : 00000000;
1300 : 00000000;
1301 : 00000000;
1302 : 00000000;
1303 : 00000000;
1304 : 00000000;
1305 : 00000000;
1306 : 00000000;
1307 : 00000000;
1308 : 00000000;
1309 : 00000000;
130A : 00000000;
130B : 00000000;
130C : 00000000;
130D : 00000000;
130E : 00000000;
130F : 00000000;
1310 : 00000000;
1311 : 00000000;
1312 : 00000000;
1313 : 00000000;
1314 : 00000000;
1315 : 00000000;
1316 : 00000000;
1317 : 00000000;
1318 : 00000000;
1319 : 00000000;
131A : 00000000;
131B : 00000000;
131C : 00000000;
131D : 00000000;
131E : 00000000;
131F : 00000000;
1320 : 00000000;
1321 : 00000000;
1322 : 00000000;
1323 : 00000000;
1324 : 00000000;
1325 : 00000000;
1326 : 00000000;
1327 : 00000000;
1328 : 00000000;
1329 : 00000000;
132A : 00000000;
132B : 00000000;
132C : 00000000;
132D : 00000000;
132E : 00000000;
132F : 00000000;
1330 : 00000000;
1331 : 00000000;
1332 : 00000000;
1333 : 00000000;
1334 : 00000000;
1335 : 00000000;
1336 : 00000000;
1337 : 00000000;
1338 : 00000000;
1339 : 00000000;
133A : 00000000;
133B : 00000000;
133C : 00000000;
133D : 00000000;
133E : 00000000;
133F : 00000000;
1340 : 00000000;
1341 : 00000000;
1342 : 00000000;
1343 : 00000000;
1344 : 00000000;
1345 : 00000000;
1346 : 00000000;
1347 : 00000000;
1348 : 00000000;
1349 : 00000000;
134A : 00000000;
134B : 00000000;
134C : 00000000;
134D : 00000000;
134E : 00000000;
134F : 00000000;
1350 : 00000000;
1351 : 00000000;
1352 : 00000000;
1353 : 00000000;
1354 : 00000000;
1355 : 00000000;
1356 : 00000000;
1357 : 00000000;
1358 : 00000000;
1359 : 00000000;
135A : 00000000;
135B : 00000000;
135C : 00000000;
135D : 00000000;
135E : 00000000;
135F : 00000000;
1360 : 00000000;
1361 : 00000000;
1362 : 00000000;
1363 : 00000000;
1364 : 00000000;
1365 : 00000000;
1366 : 00000000;
1367 : 00000000;
1368 : 00000000;
1369 : 00000000;
136A : 00000000;
136B : 00000000;
136C : 00000000;
136D : 00000000;
136E : 00000000;
136F : 00000000;
1370 : 00000000;
1371 : 00000000;
1372 : 00000000;
1373 : 00000000;
1374 : 00000000;
1375 : 00000000;
1376 : 00000000;
1377 : 00000000;
1378 : 00000000;
1379 : 00000000;
137A : 00000000;
137B : 00000000;
137C : 00000000;
137D : 00000000;
137E : 00000000;
137F : 00000000;
1380 : 00000000;
1381 : 00000000;
1382 : 00000000;
1383 : 00000000;
1384 : 00000000;
1385 : 00000000;
1386 : 00000000;
1387 : 00000000;
1388 : 00000000;
1389 : 00000000;
138A : 00000000;
138B : 00000000;
138C : 00000000;
138D : 00000000;
138E : 00000000;
138F : 00000000;
1390 : 00000000;
1391 : 00000000;
1392 : 00000000;
1393 : 00000000;
1394 : 00000000;
1395 : 00000000;
1396 : 00000000;
1397 : 00000000;
1398 : 00000000;
1399 : 00000000;
139A : 00000000;
139B : 00000000;
139C : 00000000;
139D : 00000000;
139E : 00000000;
139F : 00000000;
13A0 : 00000000;
13A1 : 00000000;
13A2 : 00000000;
13A3 : 00000000;
13A4 : 00000000;
13A5 : 00000000;
13A6 : 00004A70;
13A7 : F0000100;
13A8 : 00004A70;
13A9 : 00004A70;
13AA : F0000100;
13AB : 00004A70;
1274 : CDCDCDCD;
1275 : CDCDCDCD;
1276 : CDCDCDCD;
1277 : CDCDCDCD;
1278 : CDCDCDCD;
1279 : CDCDCDCD;
127A : CDCDCDCD;
127B : CDCDCDCD;
127C : CDCDCDCD;
127D : CDCDCDCD;
127E : CDCDCDCD;
127F : CDCDCDCD;
1280 : CDCDCDCD;
1281 : CDCDCDCD;
1282 : CDCDCDCD;
1283 : CDCDCDCD;
1284 : CDCDCDCD;
1285 : CDCDCDCD;
1286 : CDCDCDCD;
1287 : CDCDCDCD;
1288 : CDCDCDCD;
1289 : CDCDCDCD;
128A : CDCDCDCD;
128B : CDCDCDCD;
128C : CDCDCDCD;
128D : CDCDCDCD;
128E : CDCDCDCD;
128F : CDCDCDCD;
1290 : CDCDCDCD;
1291 : CDCDCDCD;
1292 : CDCDCDCD;
1293 : CDCDCDCD;
1294 : CDCDCDCD;
1295 : CDCDCDCD;
1296 : CDCDCDCD;
1297 : CDCDCDCD;
1298 : CDCDCDCD;
1299 : CDCDCDCD;
129A : CDCDCDCD;
129B : CDCDCDCD;
129C : CDCDCDCD;
129D : CDCDCDCD;
129E : CDCDCDCD;
129F : CDCDCDCD;
12A0 : CDCDCDCD;
12A1 : CDCDCDCD;
12A2 : CDCDCDCD;
12A3 : CDCDCDCD;
12A4 : CDCDCDCD;
12A5 : CDCDCDCD;
12A6 : CDCDCDCD;
12A7 : CDCDCDCD;
12A8 : CDCDCDCD;
12A9 : CDCDCDCD;
12AA : CDCDCDCD;
12AB : CDCDCDCD;
12AC : CDCDCDCD;
12AD : CDCDCDCD;
12AE : CDCDCDCD;
12AF : CDCDCDCD;
12B0 : CDCDCDCD;
12B1 : CDCDCDCD;
12B2 : CDCDCDCD;
12B3 : CDCDCDCD;
12B4 : CDCDCDCD;
12B5 : CDCDCDCD;
12B6 : CDCDCDCD;
12B7 : CDCDCDCD;
12B8 : CDCDCDCD;
12B9 : CDCDCDCD;
12BA : CDCDCDCD;
12BB : CDCDCDCD;
12BC : CDCDCDCD;
12BD : CDCDCDCD;
12BE : CDCDCDCD;
12BF : CDCDCDCD;
12C0 : CDCDCDCD;
12C1 : CDCDCDCD;
12C2 : CDCDCDCD;
12C3 : CDCDCDCD;
12C4 : CDCDCDCD;
12C5 : CDCDCDCD;
12C6 : CDCDCDCD;
12C7 : CDCDCDCD;
12C8 : CDCDCDCD;
12C9 : CDCDCDCD;
12CA : CDCDCDCD;
12CB : CDCDCDCD;
12CC : CDCDCDCD;
12CD : CDCDCDCD;
12CE : CDCDCDCD;
12CF : CDCDCDCD;
12D0 : CDCDCDCD;
12D1 : CDCDCDCD;
12D2 : CDCDCDCD;
12D3 : CDCDCDCD;
12D4 : CDCDCDCD;
12D5 : CDCDCDCD;
12D6 : CDCDCDCD;
12D7 : CDCDCDCD;
12D8 : CDCDCDCD;
12D9 : CDCDCDCD;
12DA : CDCDCDCD;
12DB : CDCDCDCD;
12DC : CDCDCDCD;
12DD : CDCDCDCD;
12DE : CDCDCDCD;
12DF : CDCDCDCD;
12E0 : CDCDCDCD;
12E1 : CDCDCDCD;
12E2 : CDCDCDCD;
12E3 : CDCDCDCD;
12E4 : CDCDCDCD;
12E5 : CDCDCDCD;
12E6 : CDCDCDCD;
12E7 : CDCDCDCD;
12E8 : CDCDCDCD;
12E9 : CDCDCDCD;
12EA : CDCDCDCD;
12EB : CDCDCDCD;
12EC : CDCDCDCD;
12ED : CDCDCDCD;
12EE : CDCDCDCD;
12EF : CDCDCDCD;
12F0 : CDCDCDCD;
12F1 : CDCDCDCD;
12F2 : CDCDCDCD;
12F3 : CDCDCDCD;
12F4 : CDCDCDCD;
12F5 : CDCDCDCD;
12F6 : CDCDCDCD;
12F7 : CDCDCDCD;
12F8 : CDCDCDCD;
12F9 : CDCDCDCD;
12FA : CDCDCDCD;
12FB : CDCDCDCD;
12FC : CDCDCDCD;
12FD : CDCDCDCD;
12FE : CDCDCDCD;
12FF : CDCDCDCD;
1300 : CDCDCDCD;
1301 : CDCDCDCD;
1302 : CDCDCDCD;
1303 : CDCDCDCD;
1304 : CDCDCDCD;
1305 : CDCDCDCD;
1306 : CDCDCDCD;
1307 : CDCDCDCD;
1308 : CDCDCDCD;
1309 : CDCDCDCD;
130A : CDCDCDCD;
130B : CDCDCDCD;
130C : CDCDCDCD;
130D : CDCDCDCD;
130E : CDCDCDCD;
130F : CDCDCDCD;
1310 : CDCDCDCD;
1311 : CDCDCDCD;
1312 : CDCDCDCD;
1313 : CDCDCDCD;
1314 : CDCDCDCD;
1315 : CDCDCDCD;
1316 : CDCDCDCD;
1317 : CDCDCDCD;
1318 : CDCDCDCD;
1319 : CDCDCDCD;
131A : CDCDCDCD;
131B : CDCDCDCD;
131C : CDCDCDCD;
131D : CDCDCDCD;
131E : CDCDCDCD;
131F : CDCDCDCD;
1320 : CDCDCDCD;
1321 : CDCDCDCD;
1322 : CDCDCDCD;
1323 : CDCDCDCD;
1324 : CDCDCDCD;
1325 : CDCDCDCD;
1326 : CDCDCDCD;
1327 : CDCDCDCD;
1328 : CDCDCDCD;
1329 : CDCDCDCD;
132A : CDCDCDCD;
132B : CDCDCDCD;
132C : CDCDCDCD;
132D : CDCDCDCD;
132E : CDCDCDCD;
132F : CDCDCDCD;
1330 : CDCDCDCD;
1331 : CDCDCDCD;
1332 : CDCDCDCD;
1333 : CDCDCDCD;
1334 : CDCDCDCD;
1335 : CDCDCDCD;
1336 : CDCDCDCD;
1337 : CDCDCDCD;
1338 : CDCDCDCD;
1339 : CDCDCDCD;
133A : CDCDCDCD;
133B : CDCDCDCD;
133C : CDCDCDCD;
133D : CDCDCDCD;
133E : CDCDCDCD;
133F : CDCDCDCD;
1340 : CDCDCDCD;
1341 : CDCDCDCD;
1342 : CDCDCDCD;
1343 : CDCDCDCD;
1344 : CDCDCDCD;
1345 : CDCDCDCD;
1346 : CDCDCDCD;
1347 : CDCDCDCD;
1348 : CDCDCDCD;
1349 : CDCDCDCD;
134A : CDCDCDCD;
134B : CDCDCDCD;
134C : CDCDCDCD;
134D : CDCDCDCD;
134E : CDCDCDCD;
134F : CDCDCDCD;
1350 : CDCDCDCD;
1351 : CDCDCDCD;
1352 : CDCDCDCD;
1353 : CDCDCDCD;
1354 : CDCDCDCD;
1355 : CDCDCDCD;
1356 : CDCDCDCD;
1357 : CDCDCDCD;
1358 : CDCDCDCD;
1359 : CDCDCDCD;
135A : CDCDCDCD;
135B : CDCDCDCD;
135C : CDCDCDCD;
135D : CDCDCDCD;
135E : CDCDCDCD;
135F : CDCDCDCD;
1360 : CDCDCDCD;
1361 : CDCDCDCD;
1362 : CDCDCDCD;
1363 : CDCDCDCD;
1364 : CDCDCDCD;
1365 : CDCDCDCD;
1366 : CDCDCDCD;
1367 : CDCDCDCD;
1368 : CDCDCDCD;
1369 : CDCDCDCD;
136A : CDCDCDCD;
136B : CDCDCDCD;
136C : CDCDCDCD;
136D : CDCDCDCD;
136E : CDCDCDCD;
136F : CDCDCDCD;
1370 : CDCDCDCD;
1371 : CDCDCDCD;
1372 : CDCDCDCD;
1373 : CDCDCDCD;
1374 : CDCDCDCD;
1375 : CDCDCDCD;
1376 : CDCDCDCD;
1377 : CDCDCDCD;
1378 : CDCDCDCD;
1379 : CDCDCDCD;
137A : CDCDCDCD;
137B : CDCDCDCD;
137C : CDCDCDCD;
137D : CDCDCDCD;
137E : CDCDCDCD;
137F : CDCDCDCD;
1380 : CDCDCDCD;
1381 : CDCDCDCD;
1382 : CDCDCDCD;
1383 : CDCDCDCD;
1384 : CDCDCDCD;
1385 : CDCDCDCD;
1386 : CDCDCDCD;
1387 : CDCDCDCD;
1388 : CDCDCDCD;
1389 : CDCDCDCD;
138A : CDCDCDCD;
138B : CDCDCDCD;
138C : CDCDCDCD;
138D : CDCDCDCD;
138E : CDCDCDCD;
138F : CDCDCDCD;
1390 : CDCDCDCD;
1391 : CDCDCDCD;
1392 : CDCDCDCD;
1393 : CDCDCDCD;
1394 : CDCDCDCD;
1395 : CDCDCDCD;
1396 : CDCDCDCD;
1397 : CDCDCDCD;
1398 : CDCDCDCD;
1399 : CDCDCDCD;
139A : CDCDCDCD;
139B : CDCDCDCD;
139C : CDCDCDCD;
139D : CDCDCDCD;
139E : CDCDCDCD;
139F : CDCDCDCD;
13A0 : CDCDCDCD;
13A1 : CDCDCDCD;
13A2 : CDCDCDCD;
13A3 : CDCDCDCD;
13A4 : CDCDCDCD;
13A5 : CDCDCDCD;
13A6 : CDCDCDCD;
13A7 : CDCDCDCD;
13A8 : CDCDCDCD;
13A9 : CDCDCDCD;
13AA : CDCDCDCD;
13AB : CDCDCDCD;
13AC : CDCDCDCD;
13AD : CDCDCDCD;
13AE : CDCDCDCD;
......
因为 它太大了无法显示 source diff 。你可以改为 查看blob
int testadd()
{
int i;
int a[16] = {1545266173, 961151873, 1200110661, -97422775, -1533490803, 2068620817, 983215061, 374587097, -691010787, 1194515617, 1492053861, -1601560727, 262246573, 271427377, 1694893813, 1940231161, };
int b[16] = {-1135025456, 129406020, 240908792, -1966774292, 76116512, -594744172, 1769401160, 946127420, -975305360, 1124632804, 991715480, 756539532, 1840487616, -443127500, 2143902184, 1550566108, };
int c[16] = {410240717, 1090557893, 1441019453, -2064197067, -1457374291, 1473876645, -1542351075, 1320714517, -1666316147, -1975818875, -1811197955, -845021195, 2102734189, -171700123, -456171299, -804170027, };
int a[16] = {224865564, -1037576624, -1765171260, 997891448, -1055972500, -2131376736, -1049929708, -370217272, 1542839740, 1138735344, -164998044, -1394228200, -1184343028, 2118299712, -982281036, 1188830568, };
int b[16] = {-952286349, -779544617, -1451062405, -1209048481, 748855427, 379619815, 1199743627, -2045006225, -732188269, -864817161, 1497034139, 1305505407, -1602128221, 1290553863, 560229547, -74014065, };
int c[16] = {-727420785, -1817121241, 1078733631, -211157033, -307117073, -1751756921, 149813919, 1879743799, 810651471, 273918183, 1332036095, -88722793, 1508496047, -886113721, -422051489, 1114816503, };
for (i = 0; i < 16; i++) {
if (a[i] + b[i] != c[i])
return i;
......@@ -13,9 +13,9 @@ int testadd()
int testsub()
{
int i;
int a[16] = {1545266173, 961151873, 1200110661, -97422775, -1533490803, 2068620817, 983215061, 374587097, -691010787, 1194515617, 1492053861, -1601560727, 262246573, 271427377, 1694893813, 1940231161, };
int b[16] = {-1135025456, 129406020, 240908792, -1966774292, 76116512, -594744172, 1769401160, 946127420, -975305360, 1124632804, 991715480, 756539532, 1840487616, -443127500, 2143902184, 1550566108, };
int c[16] = {-1614675667, 831745853, 959201869, 1869351517, -1609607315, -1631602307, -786186099, -571540323, 284294573, 69882813, 500338381, 1936867037, -1578241043, 714554877, -449008371, 389665053, };
int a[16] = {224865564, -1037576624, -1765171260, 997891448, -1055972500, -2131376736, -1049929708, -370217272, 1542839740, 1138735344, -164998044, -1394228200, -1184343028, 2118299712, -982281036, 1188830568, };
int b[16] = {-952286349, -779544617, -1451062405, -1209048481, 748855427, 379619815, 1199743627, -2045006225, -732188269, -864817161, 1497034139, 1305505407, -1602128221, 1290553863, 560229547, -74014065, };
int c[16] = {1177151913, -258032007, -314108855, -2088027367, -1804827927, 1783970745, 2045293961, 1674788953, -2019939287, 2003552505, -1662032183, 1595233689, 417785193, 827745849, -1542510583, 1262844633, };
for (i = 0; i < 16; i++) {
if (a[i] - b[i] != c[i])
return i;
......@@ -25,9 +25,9 @@ int testsub()
int testmul()
{
int i;
int a[16] = {1545266173, 961151873, 1200110661, -97422775, -1533490803, 2068620817, 983215061, 374587097, -691010787, 1194515617, 1492053861, -1601560727, 262246573, 271427377, 1694893813, 1940231161, };
int b[16] = {-1135025456, 129406020, 240908792, -1966774292, 76116512, -594744172, 1769401160, 946127420, -975305360, 1124632804, 991715480, 756539532, 1840487616, -443127500, 2143902184, 1550566108, };
int c[16] = {-1105815664, 107315780, 18907096, -692209076, -1387332704, 1588833748, 141220584, -1224397604, 255258032, 1988757348, -575667208, -294384276, -11735616, -829485324, -1489077496, 830528508, };
int a[16] = {224865564, -1037576624, -1765171260, 997891448, -1055972500, -2131376736, -1049929708, -370217272, 1542839740, 1138735344, -164998044, -1394228200, -1184343028, 2118299712, -982281036, 1188830568, };
int b[16] = {-952286349, -779544617, -1451062405, -1209048481, 748855427, 379619815, 1199743627, -2045006225, -732188269, -864817161, 1497034139, 1305505407, -1602128221, 1290553863, 560229547, -74014065, };
int c[16] = {-1982621804, -1534710480, 1915170604, -841982072, 993697860, 679998304, 959281884, -1275234120, 1313951476, -1370259568, 510241932, 197040104, 166470564, 902123968, -247446468, 310394136, };
for (i = 0; i < 16; i++) {
if (a[i] * b[i] != c[i])
return i;
......@@ -37,9 +37,9 @@ int testmul()
int testdiv()
{
int i;
int a[16] = {1545266173, 961151873, 1200110661, -97422775, -1533490803, 2068620817, 983215061, 374587097, -691010787, 1194515617, 1492053861, -1601560727, 262246573, 271427377, 1694893813, 1940231161, };
int b[16] = {-1135025456, 129406020, 240908792, -1966774292, 76116512, -594744172, 1769401160, 946127420, -975305360, 1124632804, 991715480, 756539532, 1840487616, -443127500, 2143902184, 1550566108, };
int c[16] = {-1, 7, 4, 0, -20, -3, 0, 0, 0, 1, 1, -2, 0, 0, 0, 1, };
int a[16] = {224865564, -1037576624, -1765171260, 997891448, -1055972500, -2131376736, -1049929708, -370217272, 1542839740, 1138735344, -164998044, -1394228200, -1184343028, 2118299712, -982281036, 1188830568, };
int b[16] = {-952286349, -779544617, -1451062405, -1209048481, 748855427, 379619815, 1199743627, -2045006225, -732188269, -864817161, 1497034139, 1305505407, -1602128221, 1290553863, 560229547, -74014065, };
int c[16] = {0, 1, 1, 0, -1, -5, 0, 0, -2, -1, 0, -1, 0, 1, -1, -16, };
for (i = 0; i < 16; i++) {
if (a[i] / b[i] != c[i])
return i;
......@@ -49,9 +49,9 @@ int testdiv()
int testmod()
{
int i;
int a[16] = {1545266173, 961151873, 1200110661, -97422775, -1533490803, 2068620817, 983215061, 374587097, -691010787, 1194515617, 1492053861, -1601560727, 262246573, 271427377, 1694893813, 1940231161, };
int b[16] = {-1135025456, 129406020, 240908792, -1966774292, 76116512, -594744172, 1769401160, 946127420, -975305360, 1124632804, 991715480, 756539532, 1840487616, -443127500, 2143902184, 1550566108, };
int c[16] = {410240717, 55309733, 236475493, -97422775, -11160563, 284388301, 983215061, 374587097, -691010787, 69882813, 500338381, -88481663, 262246573, 271427377, 1694893813, 389665053, };
int a[16] = {224865564, -1037576624, -1765171260, 997891448, -1055972500, -2131376736, -1049929708, -370217272, 1542839740, 1138735344, -164998044, -1394228200, -1184343028, 2118299712, -982281036, 1188830568, };
int b[16] = {-952286349, -779544617, -1451062405, -1209048481, 748855427, 379619815, 1199743627, -2045006225, -732188269, -864817161, 1497034139, 1305505407, -1602128221, 1290553863, 560229547, -74014065, };
int c[16] = {224865564, -258032007, -314108855, 997891448, -307117073, -233277661, -1049929708, -370217272, 78463202, 273918183, -164998044, -88722793, -1184343028, 827745849, -422051489, 4605528, };
for (i = 0; i < 16; i++) {
if (a[i] % b[i] != c[i])
return i;
......@@ -61,9 +61,9 @@ int testmod()
int testaddu()
{
int i;
unsigned int a[16] = {0x8fc7f256, 0xa52dadfa, 0xb15d4cde, 0x8731d302, 0x4b378466, 0x1f0fe50a, 0x9c15b8ee, 0xe1410412, 0x384b0a76, 0x9612501a, 0x7a3e98fe, 0xf224e922, 0xc2eb8486, 0xfeedef2a, 0x8a60ed0e, 0x53368232, };
unsigned int b[16] = {0x442f9fc1, 0x3cc1fcd5, 0x9b13b029, 0x1fa3adbd, 0x6add2991, 0x8aeb97a5, 0x1bceabf9, 0xadae5a8d, 0x656ed761, 0x0b849675, 0xfd084bc9, 0xb30aeb5d, 0xd429a931, 0x0461f945, 0xe7258f99, 0x07ae602d, };
unsigned int c[16] = {0xd3f79217, 0xe1efaacf, 0x4c70fd07, 0xa6d580bf, 0xb614adf7, 0xa9fb7caf, 0xb7e464e7, 0x8eef5e9f, 0x9db9e1d7, 0xa196e68f, 0x7746e4c7, 0xa52fd47f, 0x97152db7, 0x034fe86f, 0x71867ca7, 0x5ae4e25f, };
unsigned int a[16] = {0x3aaf1c6d, 0xbd622f41, 0xd3196455, 0x15936fa9, 0x0e67453d, 0x7e981911, 0x44695f25, 0x5372cb79, 0x72f4520d, 0xb66a26e1, 0xe460bdf5, 0x3f88cb49, 0x660b42dd, 0x3b1d58b1, 0x0ed480c5, 0x783a6f19, };
unsigned int b[16] = {0x28ed3d7c, 0x6a505500, 0x728005c4, 0x381033c8, 0x130c030c, 0x3639d790, 0x4d9f5554, 0xe6456058, 0x833c1c9c, 0x83deee20, 0x3f5878e4, 0xf966a0e8, 0x945e8a2c, 0x247098b0, 0xc82c7074, 0x6a44f578, };
unsigned int c[16] = {0x639c59e9, 0x27b28441, 0x45996a19, 0x4da3a371, 0x21734849, 0xb4d1f0a1, 0x9208b479, 0x39b82bd1, 0xf6306ea9, 0x3a491501, 0x23b936d9, 0x38ef6c31, 0xfa69cd09, 0x5f8df161, 0xd700f139, 0xe27f6491, };
for (i = 0; i < 16; i++) {
if (a[i] + b[i] != c[i])
return i;
......@@ -73,9 +73,9 @@ int testaddu()
int testsubu()
{
int i;
unsigned int a[16] = {0x8fc7f256, 0xa52dadfa, 0xb15d4cde, 0x8731d302, 0x4b378466, 0x1f0fe50a, 0x9c15b8ee, 0xe1410412, 0x384b0a76, 0x9612501a, 0x7a3e98fe, 0xf224e922, 0xc2eb8486, 0xfeedef2a, 0x8a60ed0e, 0x53368232, };
unsigned int b[16] = {0x442f9fc1, 0x3cc1fcd5, 0x9b13b029, 0x1fa3adbd, 0x6add2991, 0x8aeb97a5, 0x1bceabf9, 0xadae5a8d, 0x656ed761, 0x0b849675, 0xfd084bc9, 0xb30aeb5d, 0xd429a931, 0x0461f945, 0xe7258f99, 0x07ae602d, };
unsigned int c[16] = {0x4b985295, 0x686bb125, 0x16499cb5, 0x678e2545, 0xe05a5ad5, 0x94244d65, 0x80470cf5, 0x3392a985, 0xd2dc3315, 0x8a8db9a5, 0x7d364d35, 0x3f19fdc5, 0xeec1db55, 0xfa8bf5e5, 0xa33b5d75, 0x4b882205, };
unsigned int a[16] = {0x3aaf1c6d, 0xbd622f41, 0xd3196455, 0x15936fa9, 0x0e67453d, 0x7e981911, 0x44695f25, 0x5372cb79, 0x72f4520d, 0xb66a26e1, 0xe460bdf5, 0x3f88cb49, 0x660b42dd, 0x3b1d58b1, 0x0ed480c5, 0x783a6f19, };
unsigned int b[16] = {0x28ed3d7c, 0x6a505500, 0x728005c4, 0x381033c8, 0x130c030c, 0x3639d790, 0x4d9f5554, 0xe6456058, 0x833c1c9c, 0x83deee20, 0x3f5878e4, 0xf966a0e8, 0x945e8a2c, 0x247098b0, 0xc82c7074, 0x6a44f578, };
unsigned int c[16] = {0x11c1def1, 0x5311da41, 0x60995e91, 0xdd833be1, 0xfb5b4231, 0x485e4181, 0xf6ca09d1, 0x6d2d6b21, 0xefb83571, 0x328b38c1, 0xa5084511, 0x46222a61, 0xd1acb8b1, 0x16acc001, 0x46a81051, 0x0df579a1, };
for (i = 0; i < 16; i++) {
if (a[i] - b[i] != c[i])
return i;
......@@ -85,9 +85,9 @@ int testsubu()
int testmulu()
{
int i;
unsigned int a[16] = {0x8fc7f256, 0xa52dadfa, 0xb15d4cde, 0x8731d302, 0x4b378466, 0x1f0fe50a, 0x9c15b8ee, 0xe1410412, 0x384b0a76, 0x9612501a, 0x7a3e98fe, 0xf224e922, 0xc2eb8486, 0xfeedef2a, 0x8a60ed0e, 0x53368232, };
unsigned int b[16] = {0x442f9fc1, 0x3cc1fcd5, 0x9b13b029, 0x1fa3adbd, 0x6add2991, 0x8aeb97a5, 0x1bceabf9, 0xadae5a8d, 0x656ed761, 0x0b849675, 0xfd084bc9, 0xb30aeb5d, 0xd429a931, 0x0461f945, 0xe7258f99, 0x07ae602d, };
unsigned int c[16] = {0xeb0b1cd6, 0x27bdd902, 0xab43ef8e, 0x13a7227a, 0x06b453c6, 0x09858572, 0x3d2bd97e, 0xda7991ea, 0x66ec10b6, 0xbcb5d7e2, 0x1fe8896e, 0xbabee75a, 0xfb06d3a6, 0x6aab5052, 0xbd5e7f5e, 0xed63a2ca, };
unsigned int a[16] = {0x3aaf1c6d, 0xbd622f41, 0xd3196455, 0x15936fa9, 0x0e67453d, 0x7e981911, 0x44695f25, 0x5372cb79, 0x72f4520d, 0xb66a26e1, 0xe460bdf5, 0x3f88cb49, 0x660b42dd, 0x3b1d58b1, 0x0ed480c5, 0x783a6f19, };
unsigned int b[16] = {0x28ed3d7c, 0x6a505500, 0x728005c4, 0x381033c8, 0x130c030c, 0x3639d790, 0x4d9f5554, 0xe6456058, 0x833c1c9c, 0x83deee20, 0x3f5878e4, 0xf966a0e8, 0x945e8a2c, 0x247098b0, 0xc82c7074, 0x6a44f578, };
unsigned int c[16] = {0x7f80bdcc, 0x48009500, 0x22e67a14, 0x2cfde708, 0xa882f5dc, 0x1d646090, 0xa8258124, 0x49605198, 0xa7e76bec, 0x57880a20, 0x566c0634, 0x131bda28, 0xed209ffc, 0xc24611b0, 0xba7c8944, 0x245b00b8, };
for (i = 0; i < 16; i++) {
if (a[i] * b[i] != c[i])
return i;
......@@ -97,9 +97,9 @@ int testmulu()
int testdivu()
{
int i;
unsigned int a[16] = {0x8fc7f256, 0xa52dadfa, 0xb15d4cde, 0x8731d302, 0x4b378466, 0x1f0fe50a, 0x9c15b8ee, 0xe1410412, 0x384b0a76, 0x9612501a, 0x7a3e98fe, 0xf224e922, 0xc2eb8486, 0xfeedef2a, 0x8a60ed0e, 0x53368232, };
unsigned int b[16] = {0x442f9fc1, 0x3cc1fcd5, 0x9b13b029, 0x1fa3adbd, 0x6add2991, 0x8aeb97a5, 0x1bceabf9, 0xadae5a8d, 0x656ed761, 0x0b849675, 0xfd084bc9, 0xb30aeb5d, 0xd429a931, 0x0461f945, 0xe7258f99, 0x07ae602d, };
unsigned int c[16] = {0x00000002, 0x00000002, 0x00000001, 0x00000004, 0x00000000, 0x00000000, 0x00000005, 0x00000001, 0x00000000, 0x0000000d, 0x00000000, 0x00000001, 0x00000000, 0x0000003a, 0x00000000, 0x0000000a, };
unsigned int a[16] = {0x3aaf1c6d, 0xbd622f41, 0xd3196455, 0x15936fa9, 0x0e67453d, 0x7e981911, 0x44695f25, 0x5372cb79, 0x72f4520d, 0xb66a26e1, 0xe460bdf5, 0x3f88cb49, 0x660b42dd, 0x3b1d58b1, 0x0ed480c5, 0x783a6f19, };
unsigned int b[16] = {0x28ed3d7c, 0x6a505500, 0x728005c4, 0x381033c8, 0x130c030c, 0x3639d790, 0x4d9f5554, 0xe6456058, 0x833c1c9c, 0x83deee20, 0x3f5878e4, 0xf966a0e8, 0x945e8a2c, 0x247098b0, 0xc82c7074, 0x6a44f578, };
unsigned int c[16] = {0x00000001, 0x00000001, 0x00000001, 0x00000000, 0x00000000, 0x00000002, 0x00000000, 0x00000000, 0x00000000, 0x00000001, 0x00000003, 0x00000000, 0x00000000, 0x00000001, 0x00000000, 0x00000001, };
for (i = 0; i < 16; i++) {
if (a[i] / b[i] != c[i])
return i;
......@@ -109,9 +109,9 @@ int testdivu()
int testmodu()
{
int i;
unsigned int a[16] = {0x8fc7f256, 0xa52dadfa, 0xb15d4cde, 0x8731d302, 0x4b378466, 0x1f0fe50a, 0x9c15b8ee, 0xe1410412, 0x384b0a76, 0x9612501a, 0x7a3e98fe, 0xf224e922, 0xc2eb8486, 0xfeedef2a, 0x8a60ed0e, 0x53368232, };
unsigned int b[16] = {0x442f9fc1, 0x3cc1fcd5, 0x9b13b029, 0x1fa3adbd, 0x6add2991, 0x8aeb97a5, 0x1bceabf9, 0xadae5a8d, 0x656ed761, 0x0b849675, 0xfd084bc9, 0xb30aeb5d, 0xd429a931, 0x0461f945, 0xe7258f99, 0x07ae602d, };
unsigned int c[16] = {0x0768b2d4, 0x2ba9b450, 0x16499cb5, 0x08a31c0e, 0x4b378466, 0x1f0fe50a, 0x110c5d11, 0x3392a985, 0x384b0a76, 0x0056ac29, 0x7a3e98fe, 0x3f19fdc5, 0xc2eb8486, 0x00bb7588, 0x8a60ed0e, 0x0666c070, };
unsigned int a[16] = {0x3aaf1c6d, 0xbd622f41, 0xd3196455, 0x15936fa9, 0x0e67453d, 0x7e981911, 0x44695f25, 0x5372cb79, 0x72f4520d, 0xb66a26e1, 0xe460bdf5, 0x3f88cb49, 0x660b42dd, 0x3b1d58b1, 0x0ed480c5, 0x783a6f19, };
unsigned int b[16] = {0x28ed3d7c, 0x6a505500, 0x728005c4, 0x381033c8, 0x130c030c, 0x3639d790, 0x4d9f5554, 0xe6456058, 0x833c1c9c, 0x83deee20, 0x3f5878e4, 0xf966a0e8, 0x945e8a2c, 0x247098b0, 0xc82c7074, 0x6a44f578, };
unsigned int c[16] = {0x11c1def1, 0x5311da41, 0x60995e91, 0x15936fa9, 0x0e67453d, 0x122469f1, 0x44695f25, 0x5372cb79, 0x72f4520d, 0x328b38c1, 0x26575349, 0x3f88cb49, 0x660b42dd, 0x16acc001, 0x0ed480c5, 0x0df579a1, };
for (i = 0; i < 16; i++) {
if (a[i] % b[i] != c[i])
return i;
......
......@@ -45,7 +45,12 @@
`define RAMSIZE 32768
`define CSROPCOUNTER
`define CSROPCOUNTER_
`define CSRBASECOUNTER_
`define USE3MUL_
`define USEMUL32
`define USE1DIV
`define USEDIV32
(*
HDL4SE="LCOM",
......@@ -91,11 +96,13 @@ module riscv_core(
/* CSR register */
reg [31:0] misa; /*0301*/
`ifdef CSRBASECOUNTER
reg [63:0] ucycle64; /*0c00/0c80*/
reg [63:0] utime64; /*0c01/0c81*/
reg [63:0] uinstret64; /*0c02/0c82*/
reg [63:0] mcycle64; /*0b00/0b80*/
reg [63:0] minstret64; /*0b02/0b82*/
`endif
`ifdef CSROPCOUNTER
reg [63:0] opcounter_addsub64; /*0c20/0ca0*/
reg [63:0] opcounter_mul64; /*0c21/0ca0*/
......@@ -113,6 +120,7 @@ module riscv_core(
if (state == `RISCVSTATE_READ_REGS)
case (bReadData[31:20])
12'h301: csr_r <= misa;
`ifdef CSRBASECOUNTER
12'hc00: csr_r <= ucycle64[31:0];
12'hc80: csr_r <= ucycle64[63:32];
12'hc01: csr_r <= utime64[31:0];
......@@ -123,6 +131,7 @@ module riscv_core(
12'hb80: csr_r <= mcycle64[63:32];
12'hb02: csr_r <= minstret64[31:0];
12'hb82: csr_r <= minstret64[63:32];
`endif
`ifdef CSROPCOUNTER
12'hc20: csr_r <= opcounter_addsub64[31:0];
12'hca0: csr_r <= opcounter_addsub64[63:32];
......@@ -168,7 +177,6 @@ module riscv_core(
wire [31:0] div_result_r, mod_result_r, divs_result_r, mods_result_r;
wire [31:0] div_result, mod_result, divs_result, mods_result;
`define USE3MUL_
`ifdef USE3MUL
mult mul(wClk, rs1, rs2, mul_result);
......@@ -178,7 +186,6 @@ module riscv_core(
wire signed [63:0] mul_result_sign = mul_result;
reg [31:0] mul_rs1, mul_rs2;
`define USEMUL32
`ifdef USEMUL32
wire muldone;
mul32 mul(wClk, (state == `RISCVSTATE_EXEC_INST)?1:0, mul_rs1, mul_rs2, mul_result, muldone);
......@@ -246,8 +253,6 @@ module riscv_core(
Embedded Multiplier 9-bit elements 0 / 532 ( 0 % )
*/
`define USE1DIV
`define USEDIV32
`ifdef USE1DIV
wire div_unsign_op = func3[0];
reg div_unsign;
......@@ -578,11 +583,13 @@ module riscv_core(
always @(posedge wClk)
if (nwReset == 0) begin
misa <= 32'b0100_0000_0001_0000_0001_0001_0000_0000;// RV32IM
`ifdef CSRBASECOUNTER
ucycle64 <= 0;
mcycle64 <= 0;
utime64 <= 0;
uinstret64 <= 0;
minstret64 <= 0;
`endif
`ifdef CSROPCOUNTER
opcounter_doit <= 1;
opcounter_addsub64 <= 0;
......@@ -600,15 +607,19 @@ module riscv_core(
`ifdef CSROPCOUNTER
if (opcounter_doit)
`endif
`ifdef CSRBASECOUNTER
ucycle64 <= ucycle64 + 1;
utime64 <= utime64 + 1;
mcycle64 <= mcycle64 + 1;
`endif
if (state == `RISCVSTATE_EXEC_INST) begin
`ifdef CSROPCOUNTER
if (opcounter_doit)
`endif
`ifdef CSRBASECOUNTER
uinstret64 <= uinstret64 + 1;
minstret64 <= minstret64 + 1;
`endif
`ifdef CSROPCOUNTER
if (opcounter_doit)
case (opcode)
......@@ -637,6 +648,7 @@ module riscv_core(
if (csr_op) begin
case (instr[31:20])
12'h301: misa <= csr_v;
`ifdef CSRBASECOUNTER
12'hc00: ucycle64[31:0] <= csr_v;
12'hc80: ucycle64[63:32] <= csr_v;
12'hc01: utime64[31:0] <= csr_v;
......@@ -647,6 +659,7 @@ module riscv_core(
12'hb80: mcycle64[63:32] <= csr_v;
12'hb02: minstret64[31:0] <= csr_v;
12'hb82: minstret64[63:32] <= csr_v;
`endif
`ifdef CSROPCOUNTER
12'hc20: opcounter_addsub64[31:0] <= csr_v;
12'hca0: opcounter_addsub64[63:32] <= csr_v;
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册