From 809d9d8bb89aae6964d06e72b850b26b7ef0424c Mon Sep 17 00:00:00 2001 From: m0_56903617 Date: Mon, 30 Aug 2021 18:47:16 +0800 Subject: [PATCH] 202108301847 --- examples/hdl4se_riscv/de1/de1_riscv.asm.rpt | 18 +- examples/hdl4se_riscv/de1/de1_riscv.done | 2 +- examples/hdl4se_riscv/de1/de1_riscv.fit.rpt | 3578 +- .../hdl4se_riscv/de1/de1_riscv.fit.summary | 10 +- examples/hdl4se_riscv/de1/de1_riscv.flow.rpt | 40 +- examples/hdl4se_riscv/de1/de1_riscv.jdi | 2 +- examples/hdl4se_riscv/de1/de1_riscv.map.rpt | 1896 +- .../hdl4se_riscv/de1/de1_riscv.map.summary | 8 +- examples/hdl4se_riscv/de1/de1_riscv.qsf | 2 + examples/hdl4se_riscv/de1/de1_riscv.sof | Bin 6690262 -> 6690262 bytes examples/hdl4se_riscv/de1/de1_riscv.sta.rpt | 2927 +- .../hdl4se_riscv/de1/de1_riscv.sta.summary | 72 +- examples/hdl4se_riscv/de1/de1_riscv_v3.v | 10 +- examples/hdl4se_riscv/de1/ram/ram128kB.qip | 4 + examples/hdl4se_riscv/de1/ram/ram128kB.v | 181 + examples/hdl4se_riscv/de1/ram/ram128kB_bb.v | 130 + examples/hdl4se_riscv/de1/ram/ram16kB.qip | 4 + examples/hdl4se_riscv/de1/ram/ram16kB.v | 181 + examples/hdl4se_riscv/de1/ram/ram16kB_bb.v | 130 + examples/hdl4se_riscv/de1/ram128kB.qip | 0 examples/hdl4se_riscv/de1/ram16kB.qip | 0 examples/hdl4se_riscv/de1/test.mif | 33232 +++++++++++++++- examples/hdl4se_riscv/de1/uart/uart_ctrl.v | 10 +- .../hdl4se_riscv_sim/hdl4se_riscv.h | 2 +- .../hdl4se_riscv_sim/hdl4se_riscv_core_v3.c | 14 +- .../hdl4se_riscv_sim/hdl4se_riscv_ram8k.c | 32 +- .../hdl4se_riscv/hdl4se_riscv_sim/main_v2.c | 1 + .../hdl4se_riscv_sim/riscv_sim_main_v3.c | 17 +- examples/hdl4se_riscv/test_code/main_v2.c | 105 +- examples/hdl4se_riscv/test_code/test.cod | 511 +- examples/hdl4se_riscv/test_code/test.elf | Bin 16772 -> 17472 bytes examples/hdl4se_riscv/test_code/test.hex | 670 +- examples/hdl4se_riscv/test_code/test.info | 209 +- examples/hdl4se_riscv/test_code/test.mif | 33232 +++++++++++++++- examples/hdl4se_riscv/test_code/test.sh | 2 +- examples/hdl4se_riscv/test_code/test.txt | 4495 ++- examples/hdl4se_riscv/verilog/riscv_core_v3.v | 10 +- .../hdl4se_riscv/verilog/riscv_sim_dump_v3.v | 176 +- examples/hdl4se_riscv/verilog/riscv_sim_v3.v | 10 +- 39 files changed, 72437 insertions(+), 9486 deletions(-) create mode 100644 examples/hdl4se_riscv/de1/ram/ram128kB.qip create mode 100644 examples/hdl4se_riscv/de1/ram/ram128kB.v create mode 100644 examples/hdl4se_riscv/de1/ram/ram128kB_bb.v create mode 100644 examples/hdl4se_riscv/de1/ram/ram16kB.qip create mode 100644 examples/hdl4se_riscv/de1/ram/ram16kB.v create mode 100644 examples/hdl4se_riscv/de1/ram/ram16kB_bb.v create mode 100644 examples/hdl4se_riscv/de1/ram128kB.qip create mode 100644 examples/hdl4se_riscv/de1/ram16kB.qip diff --git a/examples/hdl4se_riscv/de1/de1_riscv.asm.rpt b/examples/hdl4se_riscv/de1/de1_riscv.asm.rpt index 8231895..a2ec9f8 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.asm.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.asm.rpt @@ -1,5 +1,5 @@ Assembler report for de1_riscv -Sun Aug 29 18:52:14 2021 +Mon Aug 30 18:42:51 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -37,7 +37,7 @@ applicable agreement for further details. +---------------------------------------------------------------+ ; Assembler Summary ; +-----------------------+---------------------------------------+ -; Assembler Status ; Successful - Sun Aug 29 18:52:14 2021 ; +; Assembler Status ; Successful - Mon Aug 30 18:42:50 2021 ; ; Revision Name ; de1_riscv ; ; Top-level Entity Name ; de1_riscv ; ; Family ; Cyclone V ; @@ -92,8 +92,8 @@ applicable agreement for further details. ; Option ; Setting ; +----------------+--------------------------------------------------------------------+ ; Device ; 5CSEMA5F31C6 ; -; JTAG usercode ; 0x01326DBA ; -; Checksum ; 0x01326DBA ; +; JTAG usercode ; 0x02745756 ; +; Checksum ; 0x02745756 ; +----------------+--------------------------------------------------------------------+ @@ -103,13 +103,13 @@ applicable agreement for further details. Info: ******************************************************************* Info: Running Quartus II 64-Bit Assembler Info: Version 13.1.0 Build 162 10/23/2013 SJ Full Version - Info: Processing started: Sun Aug 29 18:52:05 2021 + Info: Processing started: Mon Aug 30 18:42:31 2021 Info: Command: quartus_asm --read_settings_files=off --write_settings_files=off de1_riscv -c de1_riscv Info (115030): Assembler is generating device programming files Info: Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings - Info: Peak virtual memory: 4803 megabytes - Info: Processing ended: Sun Aug 29 18:52:14 2021 - Info: Elapsed time: 00:00:09 - Info: Total CPU time (on all processors): 00:00:09 + Info: Peak virtual memory: 673 megabytes + Info: Processing ended: Mon Aug 30 18:42:51 2021 + Info: Elapsed time: 00:00:20 + Info: Total CPU time (on all processors): 00:00:19 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.done b/examples/hdl4se_riscv/de1/de1_riscv.done index 7951a0c..6ad25e4 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.done +++ b/examples/hdl4se_riscv/de1/de1_riscv.done @@ -1 +1 @@ -Sun Aug 29 18:52:30 2021 +Mon Aug 30 18:43:39 2021 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.fit.rpt b/examples/hdl4se_riscv/de1/de1_riscv.fit.rpt index cf44a29..f093094 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.fit.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.fit.rpt @@ -1,5 +1,5 @@ Fitter report for de1_riscv -Sun Aug 29 18:52:02 2021 +Mon Aug 30 18:42:25 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -12,18 +12,18 @@ Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version 4. Parallel Compilation 5. I/O Assignment Warnings 6. Fitter Netlist Optimizations - 7. Ignored Assignments - 8. Incremental Compilation Preservation Summary - 9. Incremental Compilation Partition Settings - 10. Incremental Compilation Placement Preservation - 11. Pin-Out File - 12. Fitter Resource Usage Summary - 13. Fitter Partition Statistics - 14. Input Pins - 15. Output Pins - 16. Bidir Pins - 17. I/O Bank Usage - 18. All Package Pins + 7. Incremental Compilation Preservation Summary + 8. Incremental Compilation Partition Settings + 9. Incremental Compilation Placement Preservation + 10. Pin-Out File + 11. Fitter Resource Usage Summary + 12. Fitter Partition Statistics + 13. Input Pins + 14. Output Pins + 15. Bidir Pins + 16. I/O Bank Usage + 17. All Package Pins + 18. PLL Usage Summary 19. Fitter Resource Utilization by Entity 20. Delay Chain Summary 21. Pad To Core Delay Chain Fanout @@ -67,24 +67,24 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Fitter Summary ; +---------------------------------+---------------------------------------------+ -; Fitter Status ; Successful - Sun Aug 29 18:52:02 2021 ; +; Fitter Status ; Successful - Mon Aug 30 18:42:25 2021 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; de1_riscv ; ; Top-level Entity Name ; de1_riscv ; ; Family ; Cyclone V ; ; Device ; 5CSEMA5F31C6 ; ; Timing Models ; Preliminary ; -; Logic utilization (in ALMs) ; 2,653 / 32,070 ( 8 % ) ; -; Total registers ; 2042 ; +; Logic utilization (in ALMs) ; 2,789 / 32,070 ( 9 % ) ; +; Total registers ; 1998 ; ; Total pins ; 204 / 457 ( 45 % ) ; ; Total virtual pins ; 0 ; -; Total block memory bits ; 82,944 / 4,065,280 ( 2 % ) ; +; Total block memory bits ; 1,067,008 / 4,065,280 ( 26 % ) ; ; Total DSP Blocks ; 10 / 87 ( 11 % ) ; ; Total HSSI RX PCSs ; 0 ; ; Total HSSI PMA RX Deserializers ; 0 ; ; Total HSSI TX PCSs ; 0 ; ; Total HSSI TX Channels ; 0 ; -; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; ; Total DLLs ; 0 / 4 ( 0 % ) ; +---------------------------------+---------------------------------------------+ @@ -151,17 +151,16 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 20 ; -; Maximum allowed ; 10 ; +; Number detected on machine ; 4 ; +; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.65 ; -; Maximum used ; 10 ; +; Average used ; 1.36 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-4 ; 8.7% ; -; Processors 5-10 ; 6.5% ; -; Processors 11-20 ; 0.0% ; +; Processor 2 ; 36.5% ; +; Processors 3-4 ; 0.0% ; +----------------------------+-------------+ @@ -350,214 +349,177 @@ applicable agreement for further details. +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+---------------------------------------------------+----------------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ ; Node ; Action ; Operation ; Reason ; Node Port ; Node Port Name ; Destination Node ; Destination Port ; Destination Port Name ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+---------------------------------------------------+----------------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ -; CLOCK_50~inputCLKENA0 ; Created ; Placement ; Fitter Periphery Placement ; ; ; ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[4]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[20] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[20]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[74] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[74]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[84] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[84]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[89] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[89]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[132] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[132]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[154] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[154]~DUPLICATE ; ; ; +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0]~CLKENA0 ; Created ; Placement ; Fitter Periphery Placement ; ; ; ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[47] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[47]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[56] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[56]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[58] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[58]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[61] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[61]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[62] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[62]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[80] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[80]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[117] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[117]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[118] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[118]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[120] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[120]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[181] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[181]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[183] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[183]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[187] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[187]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[189] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[189]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[212] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[212]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[226] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[226]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[247] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[247]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[248] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[248]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[249] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[249]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[254] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[254]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[317] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[317]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[51] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[51]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[113] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[113]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[97] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[97]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[167] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[167]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[192] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[192]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[104] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[104]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[128] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[128]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[129] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[129]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[130] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[130]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[136] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[136]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[139] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[139]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[171] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[171]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[224] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[224]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[225] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[225]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[226] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[226]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[227] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[227]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[229] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[229]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[230] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[230]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[231] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[231]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[233] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[233]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[234] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[234]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[235] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[235]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[236] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[236]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[238] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[238]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[237] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[237]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[239] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[239]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[241] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[241]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[242] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[242]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[243] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[243]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[256] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[256]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[257] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[257]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[259] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[259]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[260] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[260]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[261] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[261]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[265] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[265]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[269] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[269]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[270] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[270]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[271] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[271]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[272] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[272]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[274] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[274]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[275] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[275]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[277] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[277]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[278] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[278]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[288] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[288]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[289] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[289]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[290] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[290]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[291] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[291]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[294] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[294]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[295] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[295]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[297] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[297]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[299] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[299]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[300] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[300]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[303] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[303]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[276] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[276]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[292] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[292]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[320] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[320]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[322] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[322]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[323] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[323]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[324] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[324]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[325] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[325]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[326] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[326]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[327] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[327]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[329] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[329]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[330] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[330]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[331] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[331]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[332] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[332]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[336] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[336]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[339] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[339]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[370] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[370]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[354] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[354]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[361] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[361]~DUPLICATE ; ; ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[374] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[374]~DUPLICATE ; ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[375] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[375]~DUPLICATE ; ; ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[379] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[379]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[39] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[39]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[46] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[46]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[51] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[51]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[41] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[41]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[47] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[47]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[52] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[52]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[116] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[116]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[124] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[124]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[147] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[147]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[151] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[151]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[157] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[157]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[159] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[159]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[178] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[178]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[213] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[213]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[247] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[247]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[53] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[53]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[57] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[57]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[76] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[76]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[114] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[114]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[115] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[115]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[148] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[148]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[250] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[250]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[251] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[251]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[252] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[252]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[290] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[290]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[310] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[310]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[317] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[317]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[66] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[66]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[67] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[67]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[68] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[68]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[99] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[99]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[101] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[101]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[104] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[104]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[262] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[262]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[278] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[278]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[354] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[354]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[355] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[355]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[357] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[357]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[358] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[358]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[359] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[359]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[361] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[361]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[362] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[362]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[353] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[353]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[70] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[70]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[98] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[98]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[128] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[128]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[129] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[129]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[133] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[133]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[137] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[137]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[138] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[138]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[139] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[139]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[162] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[162]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[172] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[172]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[224] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[224]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[225] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[225]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[226] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[226]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[227] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[227]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[228] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[228]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[229] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[229]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[230] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[230]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[231] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[231]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[232] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[232]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[234] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[234]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[235] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[235]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[236] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[236]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[240] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[240]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[241] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[241]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[243] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[243]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[260] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[260]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[261] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[261]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[288] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[288]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[293] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[293]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[304] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[304]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[309] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[309]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[259] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[259]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[311] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[311]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[313] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[313]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[320] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[320]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[322] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[322]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[323] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[323]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[325] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[325]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[335] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[335]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[338] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[338]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[347] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[347]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[352] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[352]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[355] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[355]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[358] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[358]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[329] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[329]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[333] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[333]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[343] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[343]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[353] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[353]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[356] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[356]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[362] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[362]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[364] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[364]~DUPLICATE ; ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[365] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[365]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[368] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[368]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[375] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[375]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[382] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[382]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; -; riscv_core:core|dstreg[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|dstreg[0]~DUPLICATE ; ; ; -; riscv_core:core|dstreg[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|dstreg[2]~DUPLICATE ; ; ; -; riscv_core:core|imm[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[1]~DUPLICATE ; ; ; -; riscv_core:core|imm[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[3]~DUPLICATE ; ; ; -; riscv_core:core|imm[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[5]~DUPLICATE ; ; ; -; riscv_core:core|imm[9] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[9]~DUPLICATE ; ; ; -; riscv_core:core|imm[10] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[10]~DUPLICATE ; ; ; -; riscv_core:core|imm[19] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[19]~DUPLICATE ; ; ; -; riscv_core:core|imm[21] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[21]~DUPLICATE ; ; ; -; riscv_core:core|imm[23] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[23]~DUPLICATE ; ; ; -; riscv_core:core|imm[28] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|imm[28]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[374] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[374]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[380] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[380]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2]~DUPLICATE ; ; ; +; riscv_core:core|dstreg[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; riscv_core:core|dstreg[3]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2]~DUPLICATE ; ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[2] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[2]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~DUPLICATE ; ; ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4]~DUPLICATE ; ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[8] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[8]~DUPLICATE ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff~DUPLICATE ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7] ; Duplicated ; Router Logic Cell Insertion and Logic Duplication ; Routability optimization ; ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7]~DUPLICATE ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; Created ; Placement ; Location assignment ; Q ; ; ; ; ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+---------------------------------------------------+----------------------------+-----------+----------------+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------------------+-----------------------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------+ -; Ignored Assignments ; -+--------------------------+----------------+--------------+-------------------------------------------+---------------+------------------------------+ -; Name ; Ignored Entity ; Ignored From ; Ignored To ; Ignored Value ; Ignored Source ; -+--------------------------+----------------+--------------+-------------------------------------------+---------------+------------------------------+ -; PLL Bandwidth Preset ; de1_riscv ; ; *clk100M_0002*|altera_pll:altera_pll_i*|* ; AUTO ; clk/clk100M/clk100M_0002.qip ; -; PLL Compensation Mode ; de1_riscv ; ; *clk100M_0002*|altera_pll:altera_pll_i*|* ; DIRECT ; clk/clk100M/clk100M_0002.qip ; -; PLL Automatic Self-Reset ; de1_riscv ; ; *clk100M_0002*|altera_pll:altera_pll_i*|* ; OFF ; clk/clk100M/clk100M_0002.qip ; -+--------------------------+----------------+--------------+-------------------------------------------+---------------+------------------------------+ - - +---------------------------------------------------------------------------------------------------+ ; Incremental Compilation Preservation Summary ; +---------------------+---------------------+----------------------------+--------------------------+ ; Type ; Total [A + B] ; From Design Partitions [A] ; From Rapid Recompile [B] ; +---------------------+---------------------+----------------------------+--------------------------+ ; Placement (by node) ; ; ; ; -; -- Requested ; 0.00 % ( 0 / 6532 ) ; 0.00 % ( 0 / 6532 ) ; 0.00 % ( 0 / 6532 ) ; -; -- Achieved ; 0.00 % ( 0 / 6532 ) ; 0.00 % ( 0 / 6532 ) ; 0.00 % ( 0 / 6532 ) ; +; -- Requested ; 0.00 % ( 0 / 6850 ) ; 0.00 % ( 0 / 6850 ) ; 0.00 % ( 0 / 6850 ) ; +; -- Achieved ; 0.00 % ( 0 / 6850 ) ; 0.00 % ( 0 / 6850 ) ; 0.00 % ( 0 / 6850 ) ; ; ; ; ; ; ; Routing (by net) ; ; ; ; ; -- Requested ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; 0.00 % ( 0 / 0 ) ; @@ -580,8 +542,8 @@ applicable agreement for further details. +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ ; Partition Name ; Preservation Achieved ; Preservation Level Used ; Netlist Type Used ; Preservation Method ; Notes ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ -; Top ; 0.00 % ( 0 / 6532 ) ; N/A ; Source File ; N/A ; ; -; hard_block:auto_generated_inst ; 0.00 % ( 0 / 0 ) ; N/A ; Source File ; N/A ; ; +; Top ; 0.00 % ( 0 / 6841 ) ; N/A ; Source File ; N/A ; ; +; hard_block:auto_generated_inst ; 0.00 % ( 0 / 9 ) ; N/A ; Source File ; N/A ; ; +--------------------------------+-----------------------+-------------------------+-------------------+---------------------+-------+ @@ -591,107 +553,107 @@ applicable agreement for further details. The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1_riscv.pin. -+-------------------------------------------------------------------------------------------+ -; Fitter Resource Usage Summary ; -+-------------------------------------------------------------+---------------------+-------+ -; Resource ; Usage ; % ; -+-------------------------------------------------------------+---------------------+-------+ -; Logic utilization (ALMs needed / total ALMs on device) ; 2,653 / 32,070 ; 8 % ; -; ALMs needed [=A-B+C] ; 2,653 ; ; -; [A] ALMs used in final placement [=a+b+c+d] ; 2,682 / 32,070 ; 8 % ; -; [a] ALMs used for LUT logic and registers ; 587 ; ; -; [b] ALMs used for LUT logic ; 1,651 ; ; -; [c] ALMs used for registers ; 354 ; ; -; [d] ALMs used for memory (up to half of total ALMs) ; 90 ; ; -; [B] Estimate of ALMs recoverable by dense packing ; 104 / 32,070 ; < 1 % ; -; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 75 / 32,070 ; < 1 % ; -; [a] Due to location constrained logic ; 4 ; ; -; [b] Due to LAB-wide signal conflicts ; 14 ; ; -; [c] Due to LAB input limits ; 57 ; ; -; [d] Due to virtual I/Os ; 0 ; ; -; ; ; ; -; Difficulty packing design ; Low ; ; -; ; ; ; -; Total LABs: partially or completely used ; 305 / 3,207 ; 10 % ; -; -- Logic LABs ; 296 ; ; -; -- Memory LABs (up to half of total LABs) ; 9 ; ; -; ; ; ; -; Combinational ALUT usage for logic ; 4,060 ; ; -; -- 7 input functions ; 75 ; ; -; -- 6 input functions ; 406 ; ; -; -- 5 input functions ; 448 ; ; -; -- 4 input functions ; 939 ; ; -; -- <=3 input functions ; 2,192 ; ; -; Combinational ALUT usage for route-throughs ; 467 ; ; -; Memory ALUT usage ; 68 ; ; -; -- 64-address deep ; 0 ; ; -; -- 32-address deep ; 68 ; ; -; ; ; ; -; Dedicated logic registers ; 2,042 ; ; -; -- By type: ; ; ; -; -- Primary logic registers ; 1,881 / 64,140 ; 3 % ; -; -- Secondary logic registers ; 161 / 64,140 ; < 1 % ; -; -- By function: ; ; ; -; -- Design implementation registers ; 1,887 ; ; -; -- Routing optimization registers ; 155 ; ; -; ; ; ; -; Virtual pins ; 0 ; ; -; I/O pins ; 204 / 457 ; 45 % ; -; -- Clock pins ; 8 / 8 ; 100 % ; -; -- Dedicated input pins ; 0 / 21 ; 0 % ; -; ; ; ; -; Hard processor system peripheral utilization ; ; ; -; -- Boot from FPGA ; 0 / 1 ( 0 % ) ; ; -; -- Clock resets ; 0 / 1 ( 0 % ) ; ; -; -- Cross trigger ; 0 / 1 ( 0 % ) ; ; -; -- S2F AXI ; 0 / 1 ( 0 % ) ; ; -; -- F2S AXI ; 0 / 1 ( 0 % ) ; ; -; -- AXI Lightweight ; 0 / 1 ( 0 % ) ; ; -; -- SDRAM ; 0 / 1 ( 0 % ) ; ; -; -- Interrupts ; 0 / 1 ( 0 % ) ; ; -; -- JTAG ; 0 / 1 ( 0 % ) ; ; -; -- Loan I/O ; 0 / 1 ( 0 % ) ; ; -; -- MPU event standby ; 0 / 1 ( 0 % ) ; ; -; -- MPU general purpose ; 0 / 1 ( 0 % ) ; ; -; -- STM event ; 0 / 1 ( 0 % ) ; ; -; -- TPIU trace ; 0 / 1 ( 0 % ) ; ; -; -- DMA ; 0 / 1 ( 0 % ) ; ; -; -- CAN ; 0 / 2 ( 0 % ) ; ; -; -- EMAC ; 0 / 2 ( 0 % ) ; ; -; -- I2C ; 0 / 4 ( 0 % ) ; ; -; -- NAND Flash ; 0 / 1 ( 0 % ) ; ; -; -- QSPI ; 0 / 1 ( 0 % ) ; ; -; -- SDMMC ; 0 / 1 ( 0 % ) ; ; -; -- SPI Master ; 0 / 2 ( 0 % ) ; ; -; -- SPI Slave ; 0 / 2 ( 0 % ) ; ; -; -- UART ; 0 / 2 ( 0 % ) ; ; -; -- USB ; 0 / 2 ( 0 % ) ; ; -; ; ; ; -; Global signals ; 1 ; ; -; M10K blocks ; 11 / 397 ; 3 % ; -; Total MLAB memory bits ; 521 ; ; -; Total block memory bits ; 82,944 / 4,065,280 ; 2 % ; -; Total block memory implementation bits ; 112,640 / 4,065,280 ; 3 % ; -; Total DSP Blocks ; 10 / 87 ; 11 % ; -; Fractional PLLs ; 0 / 6 ; 0 % ; -; Global clocks ; 1 / 16 ; 6 % ; -; Quadrant clocks ; 0 / 66 ; 0 % ; -; Horizontal periphery clocks and Vertical periphery clocks ; 0 / 18 ; 0 % ; -; SERDES Transmitters ; 0 / 100 ; 0 % ; -; SERDES Receivers ; 0 / 100 ; 0 % ; -; JTAGs ; 0 / 1 ; 0 % ; -; ASMI blocks ; 0 / 1 ; 0 % ; -; CRC blocks ; 0 / 1 ; 0 % ; -; Remote update blocks ; 0 / 1 ; 0 % ; -; Impedance control blocks ; 0 / 4 ; 0 % ; -; Hard Memory Controllers ; 0 / 2 ; 0 % ; -; Average interconnect usage (total/H/V) ; 2% / 2% / 3% ; ; -; Peak interconnect usage (total/H/V) ; 33% / 32% / 37% ; ; -; Maximum fan-out ; 2192 ; ; -; Highest non-global fan-out ; 356 ; ; -; Total fan-out ; 22768 ; ; -; Average fan-out ; 3.19 ; ; -+-------------------------------------------------------------+---------------------+-------+ ++---------------------------------------------------------------------------------------------+ +; Fitter Resource Usage Summary ; ++-------------------------------------------------------------+-----------------------+-------+ +; Resource ; Usage ; % ; ++-------------------------------------------------------------+-----------------------+-------+ +; Logic utilization (ALMs needed / total ALMs on device) ; 2,789 / 32,070 ; 9 % ; +; ALMs needed [=A-B+C] ; 2,789 ; ; +; [A] ALMs used in final placement [=a+b+c+d] ; 2,828 / 32,070 ; 9 % ; +; [a] ALMs used for LUT logic and registers ; 564 ; ; +; [b] ALMs used for LUT logic ; 1,794 ; ; +; [c] ALMs used for registers ; 370 ; ; +; [d] ALMs used for memory (up to half of total ALMs) ; 100 ; ; +; [B] Estimate of ALMs recoverable by dense packing ; 121 / 32,070 ; < 1 % ; +; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 82 / 32,070 ; < 1 % ; +; [a] Due to location constrained logic ; 4 ; ; +; [b] Due to LAB-wide signal conflicts ; 23 ; ; +; [c] Due to LAB input limits ; 55 ; ; +; [d] Due to virtual I/Os ; 0 ; ; +; ; ; ; +; Difficulty packing design ; Low ; ; +; ; ; ; +; Total LABs: partially or completely used ; 327 / 3,207 ; 10 % ; +; -- Logic LABs ; 317 ; ; +; -- Memory LABs (up to half of total LABs) ; 10 ; ; +; ; ; ; +; Combinational ALUT usage for logic ; 4,229 ; ; +; -- 7 input functions ; 50 ; ; +; -- 6 input functions ; 464 ; ; +; -- 5 input functions ; 466 ; ; +; -- 4 input functions ; 959 ; ; +; -- <=3 input functions ; 2,290 ; ; +; Combinational ALUT usage for route-throughs ; 521 ; ; +; Memory ALUT usage ; 103 ; ; +; -- 64-address deep ; 0 ; ; +; -- 32-address deep ; 103 ; ; +; ; ; ; +; Dedicated logic registers ; 1,998 ; ; +; -- By type: ; ; ; +; -- Primary logic registers ; 1,866 / 64,140 ; 3 % ; +; -- Secondary logic registers ; 132 / 64,140 ; < 1 % ; +; -- By function: ; ; ; +; -- Design implementation registers ; 1,871 ; ; +; -- Routing optimization registers ; 127 ; ; +; ; ; ; +; Virtual pins ; 0 ; ; +; I/O pins ; 204 / 457 ; 45 % ; +; -- Clock pins ; 8 / 8 ; 100 % ; +; -- Dedicated input pins ; 0 / 21 ; 0 % ; +; ; ; ; +; Hard processor system peripheral utilization ; ; ; +; -- Boot from FPGA ; 0 / 1 ( 0 % ) ; ; +; -- Clock resets ; 0 / 1 ( 0 % ) ; ; +; -- Cross trigger ; 0 / 1 ( 0 % ) ; ; +; -- S2F AXI ; 0 / 1 ( 0 % ) ; ; +; -- F2S AXI ; 0 / 1 ( 0 % ) ; ; +; -- AXI Lightweight ; 0 / 1 ( 0 % ) ; ; +; -- SDRAM ; 0 / 1 ( 0 % ) ; ; +; -- Interrupts ; 0 / 1 ( 0 % ) ; ; +; -- JTAG ; 0 / 1 ( 0 % ) ; ; +; -- Loan I/O ; 0 / 1 ( 0 % ) ; ; +; -- MPU event standby ; 0 / 1 ( 0 % ) ; ; +; -- MPU general purpose ; 0 / 1 ( 0 % ) ; ; +; -- STM event ; 0 / 1 ( 0 % ) ; ; +; -- TPIU trace ; 0 / 1 ( 0 % ) ; ; +; -- DMA ; 0 / 1 ( 0 % ) ; ; +; -- CAN ; 0 / 2 ( 0 % ) ; ; +; -- EMAC ; 0 / 2 ( 0 % ) ; ; +; -- I2C ; 0 / 4 ( 0 % ) ; ; +; -- NAND Flash ; 0 / 1 ( 0 % ) ; ; +; -- QSPI ; 0 / 1 ( 0 % ) ; ; +; -- SDMMC ; 0 / 1 ( 0 % ) ; ; +; -- SPI Master ; 0 / 2 ( 0 % ) ; ; +; -- SPI Slave ; 0 / 2 ( 0 % ) ; ; +; -- UART ; 0 / 2 ( 0 % ) ; ; +; -- USB ; 0 / 2 ( 0 % ) ; ; +; ; ; ; +; Global signals ; 1 ; ; +; M10K blocks ; 132 / 397 ; 33 % ; +; Total MLAB memory bits ; 736 ; ; +; Total block memory bits ; 1,067,008 / 4,065,280 ; 26 % ; +; Total block memory implementation bits ; 1,351,680 / 4,065,280 ; 33 % ; +; Total DSP Blocks ; 10 / 87 ; 11 % ; +; Fractional PLLs ; 1 / 6 ; 17 % ; +; Global clocks ; 1 / 16 ; 6 % ; +; Quadrant clocks ; 0 / 66 ; 0 % ; +; Horizontal periphery clocks and Vertical periphery clocks ; 0 / 18 ; 0 % ; +; SERDES Transmitters ; 0 / 100 ; 0 % ; +; SERDES Receivers ; 0 / 100 ; 0 % ; +; JTAGs ; 0 / 1 ; 0 % ; +; ASMI blocks ; 0 / 1 ; 0 % ; +; CRC blocks ; 0 / 1 ; 0 % ; +; Remote update blocks ; 0 / 1 ; 0 % ; +; Impedance control blocks ; 0 / 4 ; 0 % ; +; Hard Memory Controllers ; 0 / 2 ; 0 % ; +; Average interconnect usage (total/H/V) ; 4% / 4% / 4% ; ; +; Peak interconnect usage (total/H/V) ; 46% / 47% / 45% ; ; +; Maximum fan-out ; 2340 ; ; +; Highest non-global fan-out ; 277 ; ; +; Total fan-out ; 25984 ; ; +; Average fan-out ; 3.48 ; ; ++-------------------------------------------------------------+-----------------------+-------+ +----------------------------------------------------------------------------------------------------------------------+ @@ -699,72 +661,76 @@ The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1 +-------------------------------------------------------------+-----------------------+--------------------------------+ ; Statistic ; Top ; hard_block:auto_generated_inst ; +-------------------------------------------------------------+-----------------------+--------------------------------+ -; Logic utilization (ALMs needed / total ALMs on device) ; 2653 / 32070 ( 8 % ) ; 0 / 32070 ( 0 % ) ; -; ALMs needed [=A-B+C] ; 2653 ; 0 ; -; [A] ALMs used in final placement [=a+b+c+d] ; 2682 / 32070 ( 8 % ) ; 0 / 32070 ( 0 % ) ; -; [a] ALMs used for LUT logic and registers ; 587 ; 0 ; -; [b] ALMs used for LUT logic ; 1651 ; 0 ; -; [c] ALMs used for registers ; 354 ; 0 ; -; [d] ALMs used for memory (up to half of total ALMs) ; 90 ; 0 ; -; [B] Estimate of ALMs recoverable by dense packing ; 104 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; -; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 75 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; +; Logic utilization (ALMs needed / total ALMs on device) ; 2789 / 32070 ( 9 % ) ; 0 / 32070 ( 0 % ) ; +; ALMs needed [=A-B+C] ; 2789 ; 0 ; +; [A] ALMs used in final placement [=a+b+c+d] ; 2828 / 32070 ( 9 % ) ; 0 / 32070 ( 0 % ) ; +; [a] ALMs used for LUT logic and registers ; 564 ; 0 ; +; [b] ALMs used for LUT logic ; 1794 ; 0 ; +; [c] ALMs used for registers ; 370 ; 0 ; +; [d] ALMs used for memory (up to half of total ALMs) ; 100 ; 0 ; +; [B] Estimate of ALMs recoverable by dense packing ; 121 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; +; [C] Estimate of ALMs unavailable [=a+b+c+d] ; 82 / 32070 ( < 1 % ) ; 0 / 32070 ( 0 % ) ; ; [a] Due to location constrained logic ; 4 ; 0 ; -; [b] Due to LAB-wide signal conflicts ; 14 ; 0 ; -; [c] Due to LAB input limits ; 57 ; 0 ; +; [b] Due to LAB-wide signal conflicts ; 23 ; 0 ; +; [c] Due to LAB input limits ; 55 ; 0 ; ; [d] Due to virtual I/Os ; 0 ; 0 ; ; ; ; ; ; Difficulty packing design ; Low ; Low ; ; ; ; ; -; Total LABs: partially or completely used ; 305 / 3207 ( 10 % ) ; 0 / 3207 ( 0 % ) ; -; -- Logic LABs ; 296 ; 0 ; -; -- Memory LABs (up to half of total LABs) ; 9 ; 0 ; +; Total LABs: partially or completely used ; 327 / 3207 ( 10 % ) ; 0 / 3207 ( 0 % ) ; +; -- Logic LABs ; 317 ; 0 ; +; -- Memory LABs (up to half of total LABs) ; 10 ; 0 ; ; ; ; ; -; Combinational ALUT usage for logic ; 4128 ; 0 ; -; -- 7 input functions ; 75 ; 0 ; -; -- 6 input functions ; 406 ; 0 ; -; -- 5 input functions ; 448 ; 0 ; -; -- 4 input functions ; 939 ; 0 ; -; -- <=3 input functions ; 2192 ; 0 ; -; Combinational ALUT usage for route-throughs ; 467 ; 0 ; -; Memory ALUT usage ; 68 ; 0 ; +; Combinational ALUT usage for logic ; 4332 ; 0 ; +; -- 7 input functions ; 50 ; 0 ; +; -- 6 input functions ; 464 ; 0 ; +; -- 5 input functions ; 466 ; 0 ; +; -- 4 input functions ; 959 ; 0 ; +; -- <=3 input functions ; 2290 ; 0 ; +; Combinational ALUT usage for route-throughs ; 521 ; 0 ; +; Memory ALUT usage ; 103 ; 0 ; ; -- 64-address deep ; 0 ; 0 ; -; -- 32-address deep ; 68 ; 0 ; +; -- 32-address deep ; 103 ; 0 ; ; ; ; ; ; Dedicated logic registers ; 0 ; 0 ; ; -- By type: ; ; ; -; -- Primary logic registers ; 1881 / 64140 ( 3 % ) ; 0 / 64140 ( 0 % ) ; -; -- Secondary logic registers ; 161 / 64140 ( < 1 % ) ; 0 / 64140 ( 0 % ) ; +; -- Primary logic registers ; 1866 / 64140 ( 3 % ) ; 0 / 64140 ( 0 % ) ; +; -- Secondary logic registers ; 132 / 64140 ( < 1 % ) ; 0 / 64140 ( 0 % ) ; ; -- By function: ; ; ; -; -- Design implementation registers ; 1887 ; 0 ; -; -- Routing optimization registers ; 155 ; 0 ; +; -- Design implementation registers ; 1871 ; 0 ; +; -- Routing optimization registers ; 127 ; 0 ; ; ; ; ; ; ; ; ; ; Virtual pins ; 0 ; 0 ; -; I/O pins ; 204 ; 0 ; +; I/O pins ; 202 ; 2 ; ; I/O registers ; 0 ; 0 ; -; Total block memory bits ; 82944 ; 0 ; -; Total block memory implementation bits ; 112640 ; 0 ; -; M10K block ; 11 / 397 ( 2 % ) ; 0 / 397 ( 0 % ) ; +; Total block memory bits ; 1067008 ; 0 ; +; Total block memory implementation bits ; 1351680 ; 0 ; +; M10K block ; 132 / 397 ( 33 % ) ; 0 / 397 ( 0 % ) ; ; DSP block ; 10 / 87 ( 11 % ) ; 0 / 87 ( 0 % ) ; -; Clock enable block ; 1 / 116 ( < 1 % ) ; 0 / 116 ( 0 % ) ; +; Clock enable block ; 0 / 116 ( 0 % ) ; 1 / 116 ( < 1 % ) ; +; Fractional PLL ; 0 / 6 ( 0 % ) ; 1 / 6 ( 16 % ) ; +; PLL Output Counter ; 0 / 54 ( 0 % ) ; 1 / 54 ( 1 % ) ; +; PLL Reconfiguration Block ; 0 / 6 ( 0 % ) ; 1 / 6 ( 16 % ) ; +; PLL Reference Clock Select Block ; 0 / 6 ( 0 % ) ; 1 / 6 ( 16 % ) ; ; ; ; ; ; Connections ; ; ; -; -- Input Connections ; 60 ; 0 ; -; -- Registered Input Connections ; 0 ; 0 ; -; -- Output Connections ; 60 ; 0 ; +; -- Input Connections ; 2670 ; 0 ; +; -- Registered Input Connections ; 2223 ; 0 ; +; -- Output Connections ; 60 ; 2610 ; ; -- Registered Output Connections ; 0 ; 0 ; ; ; ; ; ; Internal Connections ; ; ; -; -- Total Connections ; 23931 ; 0 ; -; -- Registered Connections ; 7007 ; 0 ; +; -- Total Connections ; 28826 ; 2644 ; +; -- Registered Connections ; 11155 ; 0 ; ; ; ; ; ; External Connections ; ; ; -; -- Top ; 120 ; 0 ; -; -- hard_block:auto_generated_inst ; 0 ; 0 ; +; -- Top ; 120 ; 2610 ; +; -- hard_block:auto_generated_inst ; 2610 ; 0 ; ; ; ; ; ; Partition Interface ; ; ; -; -- Input Ports ; 32 ; 0 ; -; -- Output Ports ; 112 ; 0 ; +; -- Input Ports ; 32 ; 2 ; +; -- Output Ports ; 112 ; 2 ; ; -- Bidir Ports ; 60 ; 0 ; ; ; ; ; ; Registered Ports ; ; ; @@ -793,12 +759,12 @@ The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1 ; CLOCK2_50 ; AA16 ; 4A ; 56 ; 0 ; 0 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; CLOCK3_50 ; Y26 ; 5B ; 89 ; 25 ; 3 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; CLOCK4_50 ; K14 ; 8A ; 32 ; 81 ; 0 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; -; CLOCK_50 ; AF14 ; 3B ; 32 ; 0 ; 0 ; 2192 ; 0 ; yes ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; +; CLOCK_50 ; AF14 ; 3B ; 32 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; IRDA_RXD ; AA30 ; 5B ; 89 ; 21 ; 20 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; KEY[0] ; AA14 ; 3B ; 36 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; -; KEY[1] ; AA15 ; 3B ; 36 ; 0 ; 17 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; +; KEY[1] ; AA15 ; 3B ; 36 ; 0 ; 17 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; KEY[2] ; W15 ; 3B ; 40 ; 0 ; 0 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; -; KEY[3] ; Y16 ; 3B ; 40 ; 0 ; 17 ; 267 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; +; KEY[3] ; Y16 ; 3B ; 40 ; 0 ; 17 ; 271 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[0] ; AB12 ; 3A ; 12 ; 0 ; 17 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[1] ; AC12 ; 3A ; 16 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[2] ; AF9 ; 3A ; 8 ; 0 ; 34 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; @@ -806,7 +772,7 @@ The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1 ; SW[4] ; AD11 ; 3A ; 2 ; 0 ; 40 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[5] ; AD12 ; 3A ; 16 ; 0 ; 17 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[6] ; AE11 ; 3A ; 4 ; 0 ; 34 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; -; SW[7] ; AC9 ; 3A ; 4 ; 0 ; 0 ; 1 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; +; SW[7] ; AC9 ; 3A ; 4 ; 0 ; 0 ; 2 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[8] ; AD10 ; 3A ; 4 ; 0 ; 17 ; 3 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; SW[9] ; AE12 ; 3A ; 2 ; 0 ; 57 ; 8 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; ; TD_CLK27 ; H15 ; 8A ; 40 ; 81 ; 0 ; 0 ; 0 ; no ; no ; no ; Off ; 3.3-V LVTTL ; Off ; -- ; User ; @@ -1938,97 +1904,158 @@ The pin-out file can be found in D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1 Note: Pin directions (input, output or bidir) are based on device operating in user mode. ++---------------------------------------------------------------------------------------------------------------------------------------------+ +; PLL Usage Summary ; ++----------------------------------------------------------------------------------------------------------------+----------------------------+ +; ; ; ++----------------------------------------------------------------------------------------------------------------+----------------------------+ +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll~FRACTIONAL_PLL ; ; +; -- PLL Type ; Integer PLL ; +; -- PLL Location ; FRACTIONALPLL_X0_Y15_N0 ; +; -- PLL Feedback clock type ; none ; +; -- PLL Bandwidth ; Auto (Low) ; +; -- PLL Bandwidth Range ; 2000000 to 1500000 Hz ; +; -- Reference Clock Frequency ; 50.0 MHz ; +; -- Reference Clock Sourced by ; Dedicated Pin ; +; -- PLL VCO Frequency ; 300.0 MHz ; +; -- PLL Operation Mode ; Direct ; +; -- PLL Freq Min Lock ; 50.000000 MHz ; +; -- PLL Freq Max Lock ; 133.333333 MHz ; +; -- PLL Enable ; On ; +; -- PLL Fractional Division ; N/A ; +; -- M Counter ; 6 ; +; -- N Counter ; 1 ; +; -- PLL Refclk Select ; ; +; -- PLL Refclk Select Location ; PLLREFCLKSELECT_X0_Y21_N0 ; +; -- PLL Reference Clock Input 0 source ; clk_0 ; +; -- PLL Reference Clock Input 1 source ; ref_clk1 ; +; -- ADJPLLIN source ; N/A ; +; -- CORECLKIN source ; N/A ; +; -- IQTXRXCLKIN source ; N/A ; +; -- PLLIQCLKIN source ; N/A ; +; -- RXIQCLKIN source ; N/A ; +; -- CLKIN(0) source ; CLOCK_50~input ; +; -- CLKIN(1) source ; N/A ; +; -- CLKIN(2) source ; N/A ; +; -- CLKIN(3) source ; N/A ; +; -- PLL Output Counter ; ; +; -- clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER ; ; +; -- Output Clock Frequency ; 50.0 MHz ; +; -- Output Clock Location ; PLLOUTPUTCOUNTER_X0_Y20_N1 ; +; -- C Counter Odd Divider Even Duty Enable ; Off ; +; -- Duty Cycle ; 50.0000 ; +; -- Phase Shift ; 0.000000 degrees ; +; -- C Counter ; 6 ; +; -- C Counter PH Mux PRST ; 0 ; +; -- C Counter PRST ; 1 ; +; ; ; ++----------------------------------------------------------------------------------------------------------------+----------------------------+ + + +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Fitter Resource Utilization by Entity ; +-------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; ALMs needed [=A-B+C] ; [A] ALMs used in final placement ; [B] Estimate of ALMs recoverable by dense packing ; [C] Estimate of ALMs unavailable ; ALMs used for memory ; Combinational ALUTs ; Dedicated Logic Registers ; I/O Registers ; Block Memory Bits ; M10Ks ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; +-------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |de1_riscv ; 2652.5 (78.2) ; 2681.5 (79.5) ; 103.0 (2.4) ; 74.0 (1.2) ; 90.0 (0.0) ; 4060 (132) ; 2042 (71) ; 0 (0) ; 82944 ; 11 ; 10 ; 204 ; 0 ; |de1_riscv ; work ; -; |ram8kb:ram| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram ; work ; -; |altsyncram:altsyncram_component| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram|altsyncram:altsyncram_component ; work ; -; |altsyncram_vgr1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 65536 ; 8 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated ; work ; +; |de1_riscv ; 2789.0 (128.0) ; 2826.5 (126.7) ; 118.5 (4.6) ; 81.0 (6.0) ; 100.0 (0.0) ; 4229 (203) ; 1998 (76) ; 0 (0) ; 1067008 ; 132 ; 10 ; 204 ; 0 ; |de1_riscv ; work ; +; |clk100M:clk100| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100 ; clk100M ; +; |clk100M_0002:clk100m_inst| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100|clk100M_0002:clk100m_inst ; clk100M ; +; |altera_pll:altera_pll_i| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i ; work ; +; |ram128kB:ram| ; 6.4 (0.0) ; 6.3 (0.0) ; 0.0 (0.0) ; 0.1 (0.0) ; 0.0 (0.0) ; 10 (0) ; 2 (0) ; 0 (0) ; 1048576 ; 128 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram ; work ; +; |altsyncram:altsyncram_component| ; 6.4 (0.0) ; 6.3 (0.0) ; 0.0 (0.0) ; 0.1 (0.0) ; 0.0 (0.0) ; 10 (0) ; 2 (0) ; 0 (0) ; 1048576 ; 128 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component ; work ; +; |altsyncram_vir1:auto_generated| ; 6.4 (0.6) ; 6.3 (0.6) ; 0.0 (0.0) ; 0.1 (0.0) ; 0.0 (0.0) ; 10 (0) ; 2 (2) ; 0 (0) ; 1048576 ; 128 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated ; work ; +; |decode_11a:rden_decode| ; 1.3 (1.3) ; 1.3 (1.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 3 (3) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode ; work ; +; |decode_8la:decode3| ; 2.3 (2.3) ; 2.3 (2.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 5 (5) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3 ; work ; +; |mux_5hb:mux2| ; 2.1 (2.1) ; 2.0 (2.0) ; 0.0 (0.0) ; 0.1 (0.1) ; 0.0 (0.0) ; 2 (2) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|mux_5hb:mux2 ; work ; ; |regfile:regs| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs ; work ; ; |altsyncram:altsyncram_component| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs|altsyncram:altsyncram_component ; work ; ; |altsyncram_nco1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated ; work ; -; |riscv_core:core| ; 2387.6 (834.1) ; 2416.3 (817.2) ; 88.6 (9.6) ; 59.8 (26.6) ; 90.0 (0.0) ; 3661 (1213) ; 1664 (232) ; 0 (0) ; 0 ; 0 ; 10 ; 0 ; 0 ; |de1_riscv|riscv_core:core ; work ; -; |adder:add| ; 17.0 (0.0) ; 16.5 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 33 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add ; work ; -; |lpm_add_sub:LPM_ADD_SUB_component| ; 17.0 (0.0) ; 16.5 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 33 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component ; work ; -; |add_sub_tih:auto_generated| ; 17.0 (17.0) ; 16.5 (16.5) ; 0.0 (0.0) ; 0.5 (0.5) ; 0.0 (0.0) ; 33 (33) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component|add_sub_tih:auto_generated ; work ; -; |div:div| ; 624.6 (0.0) ; 638.2 (0.0) ; 32.3 (0.0) ; 18.7 (0.0) ; 0.0 (0.0) ; 1030 (0) ; 644 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div ; work ; -; |lpm_divide:LPM_DIVIDE_component| ; 624.6 (0.0) ; 638.2 (0.0) ; 32.3 (0.0) ; 18.7 (0.0) ; 0.0 (0.0) ; 1030 (0) ; 644 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component ; work ; -; |lpm_divide_2jt:auto_generated| ; 624.6 (0.0) ; 638.2 (0.0) ; 32.3 (0.0) ; 18.7 (0.0) ; 0.0 (0.0) ; 1030 (0) ; 644 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated ; work ; -; |sign_div_unsign_8ai:divider| ; 624.6 (0.0) ; 638.2 (0.0) ; 32.3 (0.0) ; 18.7 (0.0) ; 0.0 (0.0) ; 1030 (0) ; 644 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider ; work ; -; |alt_u_div_nlf:divider| ; 624.6 (624.6) ; 638.2 (638.2) ; 32.3 (32.3) ; 18.7 (18.7) ; 0.0 (0.0) ; 1030 (1030) ; 644 (644) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider ; work ; -; |div_s:divs| ; 844.7 (0.0) ; 879.4 (0.0) ; 46.6 (0.0) ; 12.0 (0.0) ; 90.0 (0.0) ; 1255 (0) ; 788 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs ; work ; -; |lpm_divide:LPM_DIVIDE_component| ; 844.7 (0.0) ; 879.4 (0.0) ; 46.6 (0.0) ; 12.0 (0.0) ; 90.0 (0.0) ; 1255 (0) ; 788 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component ; work ; -; |lpm_divide_s4t:auto_generated| ; 844.7 (0.0) ; 879.4 (0.0) ; 46.6 (0.0) ; 12.0 (0.0) ; 90.0 (0.0) ; 1255 (0) ; 788 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated ; work ; -; |sign_div_unsign_2sh:divider| ; 844.7 (61.1) ; 879.4 (58.1) ; 46.6 (1.1) ; 12.0 (4.1) ; 90.0 (0.0) ; 1255 (138) ; 788 (12) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider ; work ; -; |alt_u_div_5eg:divider| ; 783.7 (638.1) ; 821.3 (666.1) ; 45.5 (35.9) ; 7.9 (7.9) ; 90.0 (0.0) ; 1117 (1008) ; 776 (673) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider ; work ; -; |altshift_taps:DFFNumerator_rtl_0| ; 16.6 (0.0) ; 17.8 (0.0) ; 1.2 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 12 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; work ; -; |shift_taps_bl21:auto_generated| ; 16.6 (2.4) ; 17.8 (2.7) ; 1.2 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (7) ; 12 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated ; work ; -; |altsyncram_fr91:altsyncram5| ; 11.1 (11.1) ; 11.7 (11.7) ; 0.6 (0.6) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5 ; work ; -; |cntr_8jf:cntr1| ; 3.2 (3.2) ; 3.5 (3.5) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_1| ; 17.2 (0.0) ; 18.5 (0.0) ; 1.3 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 15 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; work ; -; |shift_taps_cl21:auto_generated| ; 17.2 (3.0) ; 18.5 (3.4) ; 1.3 (0.4) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (8) ; 15 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated ; work ; -; |altsyncram_mr91:altsyncram5| ; 11.2 (11.2) ; 11.6 (11.6) ; 0.4 (0.4) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5 ; work ; -; |cntr_9jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_2| ; 16.5 (0.0) ; 18.0 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 16 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; work ; -; |shift_taps_dl21:auto_generated| ; 16.5 (2.3) ; 18.0 (2.8) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (7) ; 16 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated ; work ; -; |altsyncram_nr91:altsyncram5| ; 11.3 (11.3) ; 11.8 (11.8) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5 ; work ; -; |cntr_8jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 8 (8) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_3| ; 17.2 (0.0) ; 18.7 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 15 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; work ; -; |shift_taps_0k21:auto_generated| ; 17.2 (3.0) ; 18.7 (3.5) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (8) ; 15 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated ; work ; -; |altsyncram_7p91:altsyncram5| ; 11.2 (11.2) ; 11.7 (11.7) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5 ; work ; -; |cntr_0if:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 7 (7) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_4| ; 14.3 (0.0) ; 15.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 8 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; work ; -; |shift_taps_tj21:auto_generated| ; 14.3 (2.2) ; 15.0 (2.4) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 8 (5) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated ; work ; -; |altsyncram_vo91:altsyncram4| ; 10.7 (10.7) ; 10.9 (10.9) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4 ; work ; -; |cntr_uhf:cntr1| ; 1.4 (1.4) ; 1.7 (1.7) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_5| ; 17.2 (0.0) ; 18.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; work ; -; |shift_taps_uj21:auto_generated| ; 17.2 (3.1) ; 18.0 (3.4) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (7) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated ; work ; -; |altsyncram_0p91:altsyncram5| ; 10.6 (10.6) ; 10.8 (10.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5 ; work ; -; |cntr_thf:cntr1| ; 3.5 (3.5) ; 3.8 (3.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_6| ; 16.7 (0.0) ; 17.5 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; work ; -; |shift_taps_vj21:auto_generated| ; 16.7 (2.3) ; 17.5 (2.6) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (6) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated ; work ; -; |altsyncram_1p91:altsyncram5| ; 10.7 (10.7) ; 10.9 (10.9) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5 ; work ; -; |cntr_shf:cntr1| ; 3.7 (3.7) ; 4.0 (4.0) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_7| ; 17.2 (0.0) ; 18.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 10 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; work ; -; |shift_taps_qj21:auto_generated| ; 17.2 (3.1) ; 18.0 (3.4) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (7) ; 10 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated ; work ; -; |altsyncram_po91:altsyncram5| ; 10.6 (10.6) ; 10.8 (10.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5 ; work ; -; |cntr_rhf:cntr1| ; 3.5 (3.5) ; 3.8 (3.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_8| ; 12.8 (0.0) ; 13.7 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 6 (0) ; 8 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; work ; -; |shift_taps_rj21:auto_generated| ; 12.8 (1.7) ; 13.7 (2.0) ; 0.8 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 6 (4) ; 8 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated ; work ; -; |altsyncram_no91:altsyncram4| ; 10.4 (10.4) ; 10.7 (10.7) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4 ; work ; -; |cntr_phf:cntr1| ; 0.7 (0.7) ; 1.0 (1.0) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 2 (2) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1 ; work ; -; |mulsu:mul_su| ; 19.8 (0.0) ; 19.0 (0.0) ; 0.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 38 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su ; work ; -; |lpm_mult:lpm_mult_component| ; 19.8 (0.0) ; 19.0 (0.0) ; 0.0 (0.0) ; 0.8 (0.0) ; 0.0 (0.0) ; 38 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component ; work ; -; |mult_61n:auto_generated| ; 19.8 (19.8) ; 19.0 (19.0) ; 0.0 (0.0) ; 0.8 (0.8) ; 0.0 (0.0) ; 38 (38) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component|mult_61n:auto_generated ; work ; +; |regfile:regs2| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2 ; work ; +; |altsyncram:altsyncram_component| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2|altsyncram:altsyncram_component ; work ; +; |altsyncram_nco1:auto_generated| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 1024 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated ; work ; +; |riscv_core:core| ; 2466.6 (859.3) ; 2508.7 (853.8) ; 103.7 (23.2) ; 61.5 (28.6) ; 100.0 (0.0) ; 3743 (1237) ; 1612 (207) ; 0 (0) ; 0 ; 0 ; 10 ; 0 ; 0 ; |de1_riscv|riscv_core:core ; work ; +; |adder:add| ; 17.5 (0.0) ; 17.0 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 33 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add ; work ; +; |lpm_add_sub:LPM_ADD_SUB_component| ; 17.5 (0.0) ; 17.0 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 33 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component ; work ; +; |add_sub_tih:auto_generated| ; 17.5 (17.5) ; 17.0 (17.0) ; 0.0 (0.0) ; 0.5 (0.5) ; 0.0 (0.0) ; 33 (33) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component|add_sub_tih:auto_generated ; work ; +; |div:div| ; 626.6 (0.0) ; 646.3 (0.0) ; 28.6 (0.0) ; 8.9 (0.0) ; 0.0 (0.0) ; 1008 (0) ; 645 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div ; work ; +; |lpm_divide:LPM_DIVIDE_component| ; 626.6 (0.0) ; 646.3 (0.0) ; 28.6 (0.0) ; 8.9 (0.0) ; 0.0 (0.0) ; 1008 (0) ; 645 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component ; work ; +; |lpm_divide_2jt:auto_generated| ; 626.6 (0.0) ; 646.3 (0.0) ; 28.6 (0.0) ; 8.9 (0.0) ; 0.0 (0.0) ; 1008 (0) ; 645 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated ; work ; +; |sign_div_unsign_8ai:divider| ; 626.6 (0.0) ; 646.3 (0.0) ; 28.6 (0.0) ; 8.9 (0.0) ; 0.0 (0.0) ; 1008 (0) ; 645 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider ; work ; +; |alt_u_div_nlf:divider| ; 626.6 (626.6) ; 646.3 (646.3) ; 28.6 (28.6) ; 8.9 (8.9) ; 0.0 (0.0) ; 1008 (1008) ; 645 (645) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider ; work ; +; |div_s:divs| ; 897.7 (0.0) ; 926.6 (0.0) ; 51.9 (0.0) ; 23.0 (0.0) ; 100.0 (0.0) ; 1335 (0) ; 760 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs ; work ; +; |lpm_divide:LPM_DIVIDE_component| ; 897.7 (0.0) ; 926.6 (0.0) ; 51.9 (0.0) ; 23.0 (0.0) ; 100.0 (0.0) ; 1335 (0) ; 760 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component ; work ; +; |lpm_divide_s4t:auto_generated| ; 897.7 (0.0) ; 926.6 (0.0) ; 51.9 (0.0) ; 23.0 (0.0) ; 100.0 (0.0) ; 1335 (0) ; 760 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated ; work ; +; |sign_div_unsign_2sh:divider| ; 897.7 (97.2) ; 926.6 (93.2) ; 51.9 (0.7) ; 23.0 (4.8) ; 100.0 (0.0) ; 1335 (209) ; 760 (14) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider ; work ; +; |alt_u_div_5eg:divider| ; 783.9 (641.9) ; 815.4 (663.9) ; 49.8 (40.3) ; 18.3 (18.3) ; 90.0 (0.0) ; 1113 (1010) ; 734 (645) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider ; work ; +; |altshift_taps:DFFNumerator_rtl_0| ; 17.0 (0.0) ; 18.5 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 14 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; work ; +; |shift_taps_hm21:auto_generated| ; 17.0 (2.9) ; 18.5 (3.4) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (8) ; 14 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated ; work ; +; |altsyncram_9u91:altsyncram5| ; 11.1 (11.1) ; 11.6 (11.6) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5 ; work ; +; |cntr_9jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 6 (6) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_1| ; 16.5 (0.0) ; 18.0 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 13 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; work ; +; |shift_taps_gm21:auto_generated| ; 16.5 (2.3) ; 18.0 (2.8) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (7) ; 13 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated ; work ; +; |altsyncram_7u91:altsyncram5| ; 11.3 (11.3) ; 11.8 (11.8) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5 ; work ; +; |cntr_8jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_2| ; 17.0 (0.0) ; 18.5 (0.0) ; 1.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 13 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; work ; +; |shift_taps_bl21:auto_generated| ; 17.0 (2.9) ; 18.5 (3.4) ; 1.5 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (8) ; 13 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated ; work ; +; |altsyncram_rr91:altsyncram5| ; 11.1 (11.1) ; 11.6 (11.6) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5 ; work ; +; |cntr_0if:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_3| ; 14.5 (0.0) ; 15.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 8 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; work ; +; |shift_taps_9l21:auto_generated| ; 14.5 (2.3) ; 15.0 (2.4) ; 0.5 (0.2) ; 0.0 (0.0) ; 10.0 (0.0) ; 8 (5) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated ; work ; +; |altsyncram_lr91:altsyncram4| ; 10.8 (10.8) ; 10.9 (10.9) ; 0.2 (0.2) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4 ; work ; +; |cntr_uhf:cntr1| ; 1.5 (1.5) ; 1.7 (1.7) ; 0.2 (0.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 3 (3) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_4| ; 17.0 (0.0) ; 18.0 (0.0) ; 1.0 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 10 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; work ; +; |shift_taps_cl21:auto_generated| ; 17.0 (3.1) ; 18.0 (3.4) ; 1.0 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (7) ; 10 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated ; work ; +; |altsyncram_hr91:altsyncram5| ; 10.5 (10.5) ; 10.8 (10.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5 ; work ; +; |cntr_thf:cntr1| ; 3.4 (3.4) ; 3.8 (3.8) ; 0.4 (0.4) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_5| ; 16.5 (0.0) ; 17.5 (0.0) ; 1.0 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; work ; +; |shift_taps_dl21:auto_generated| ; 16.5 (2.3) ; 17.5 (2.6) ; 1.0 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (6) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated ; work ; +; |altsyncram_mr91:altsyncram5| ; 10.6 (10.6) ; 10.9 (10.9) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5 ; work ; +; |cntr_shf:cntr1| ; 3.7 (3.7) ; 4.0 (4.0) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_6| ; 17.0 (0.0) ; 18.0 (0.0) ; 1.0 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (0) ; 9 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; work ; +; |shift_taps_4l21:auto_generated| ; 17.0 (3.1) ; 18.0 (3.4) ; 1.0 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 14 (7) ; 9 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated ; work ; +; |altsyncram_dr91:altsyncram5| ; 10.5 (10.5) ; 10.8 (10.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5 ; work ; +; |cntr_rhf:cntr1| ; 3.4 (3.4) ; 3.8 (3.8) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 7 (7) ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_7| ; 13.0 (0.0) ; 13.5 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 6 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; work ; +; |shift_taps_3l21:auto_generated| ; 13.0 (1.8) ; 13.5 (1.9) ; 0.5 (0.2) ; 0.0 (0.0) ; 10.0 (0.0) ; 6 (4) ; 6 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated ; work ; +; |altsyncram_9r91:altsyncram4| ; 10.4 (10.4) ; 10.6 (10.6) ; 0.2 (0.2) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4 ; work ; +; |cntr_phf:cntr1| ; 0.8 (0.8) ; 1.0 (1.0) ; 0.2 (0.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 2 (2) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|cntr_phf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_8| ; 13.5 (0.0) ; 14.5 (0.0) ; 1.0 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 7 (0) ; 6 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; work ; +; |shift_taps_5l21:auto_generated| ; 13.5 (1.1) ; 14.5 (1.4) ; 1.0 (0.3) ; 0.0 (0.0) ; 10.0 (0.0) ; 7 (2) ; 6 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated ; work ; +; |altsyncram_br91:altsyncram4| ; 10.3 (10.3) ; 10.6 (10.6) ; 0.3 (0.3) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4 ; work ; +; |cntr_ohf:cntr1| ; 2.2 (2.2) ; 2.5 (2.5) ; 0.3 (0.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 5 (5) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1 ; work ; +; |altshift_taps:DFF_Num_Sign_rtl_0| ; 16.6 (0.0) ; 18.0 (0.0) ; 1.4 (0.0) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (0) ; 12 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 ; work ; +; |shift_taps_7l21:auto_generated| ; 16.6 (2.3) ; 18.0 (2.8) ; 1.4 (0.5) ; 0.0 (0.0) ; 10.0 (0.0) ; 13 (7) ; 12 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated ; work ; +; |altsyncram_kr91:altsyncram5| ; 11.3 (11.3) ; 11.8 (11.8) ; 0.5 (0.5) ; 0.0 (0.0) ; 10.0 (10.0) ; 0 (0) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5 ; work ; +; |cntr_8jf:cntr1| ; 3.0 (3.0) ; 3.5 (3.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 6 (6) ; 4 (4) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1 ; work ; +; |mulsu:mul_su| ; 19.5 (0.0) ; 19.0 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 38 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su ; work ; +; |lpm_mult:lpm_mult_component| ; 19.5 (0.0) ; 19.0 (0.0) ; 0.0 (0.0) ; 0.5 (0.0) ; 0.0 (0.0) ; 38 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component ; work ; +; |mult_61n:auto_generated| ; 19.5 (19.5) ; 19.0 (19.0) ; 0.0 (0.0) ; 0.5 (0.5) ; 0.0 (0.0) ; 38 (38) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component|mult_61n:auto_generated ; work ; ; |mult:mul| ; 23.0 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult:mul ; work ; ; |lpm_mult:lpm_mult_component| ; 23.0 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult:mul|lpm_mult:lpm_mult_component ; work ; ; |mult_b8n:auto_generated| ; 23.0 (23.0) ; 23.0 (23.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (46) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult:mul|lpm_mult:lpm_mult_component|mult_b8n:auto_generated ; work ; -; |mult_s:mul_s| ; 24.3 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 1.3 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s ; work ; -; |lpm_mult:lpm_mult_component| ; 24.3 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 1.3 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component ; work ; -; |mult_81n:auto_generated| ; 24.3 (24.3) ; 23.0 (23.0) ; 0.0 (0.0) ; 1.3 (1.3) ; 0.0 (0.0) ; 46 (46) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component|mult_81n:auto_generated ; work ; -; |uart_ctrl:uart_ctrl| ; 186.7 (58.4) ; 185.7 (55.2) ; 12.0 (4.3) ; 13.0 (7.6) ; 0.0 (0.0) ; 267 (48) ; 307 (100) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl ; work ; -; |altera_uart:uart| ; 65.5 (0.0) ; 70.0 (0.0) ; 7.1 (0.0) ; 2.6 (0.0) ; 0.0 (0.0) ; 102 (0) ; 109 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart ; work ; -; |altera_uart_regs:the_altera_uart_regs| ; 17.2 (17.2) ; 18.7 (18.7) ; 3.4 (3.4) ; 1.9 (1.9) ; 0.0 (0.0) ; 21 (21) ; 36 (36) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs ; work ; -; |altera_uart_rx:the_altera_uart_rx| ; 29.0 (28.3) ; 31.8 (31.3) ; 2.9 (3.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 52 (52) ; 42 (40) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx ; work ; +; |mult_s:mul_s| ; 23.0 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s ; work ; +; |lpm_mult:lpm_mult_component| ; 23.0 (0.0) ; 23.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (0) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component ; work ; +; |mult_81n:auto_generated| ; 23.0 (23.0) ; 23.0 (23.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 46 (46) ; 0 (0) ; 0 (0) ; 0 ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component|mult_81n:auto_generated ; work ; +; |uart_ctrl:uart_ctrl| ; 187.6 (61.2) ; 184.8 (55.7) ; 10.6 (3.4) ; 13.4 (8.8) ; 0.0 (0.0) ; 273 (54) ; 308 (101) ; 0 (0) ; 16384 ; 2 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl ; work ; +; |altera_uart:uart| ; 66.9 (0.0) ; 69.3 (0.0) ; 6.9 (0.0) ; 4.5 (0.0) ; 0.0 (0.0) ; 102 (0) ; 113 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart ; work ; +; |altera_uart_regs:the_altera_uart_regs| ; 14.9 (14.9) ; 18.1 (18.1) ; 3.7 (3.7) ; 0.4 (0.4) ; 0.0 (0.0) ; 21 (21) ; 39 (39) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs ; work ; +; |altera_uart_rx:the_altera_uart_rx| ; 29.8 (29.1) ; 31.9 (31.4) ; 2.2 (2.3) ; 0.0 (0.0) ; 0.0 (0.0) ; 52 (52) ; 42 (40) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx ; work ; ; |altera_std_synchronizer:the_altera_std_synchronizer| ; 0.5 (0.5) ; 0.5 (0.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer ; work ; -; |altera_uart_tx:the_altera_uart_tx| ; 19.4 (19.4) ; 19.5 (19.5) ; 0.8 (0.8) ; 0.6 (0.6) ; 0.0 (0.0) ; 29 (29) ; 31 (31) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx ; work ; -; |uart_fifo:uart_recv_buf| ; 30.2 (0.0) ; 30.2 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (0) ; 52 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf ; work ; -; |scfifo:scfifo_component| ; 30.2 (0.0) ; 30.2 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (0) ; 52 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component ; work ; -; |scfifo_nrc1:auto_generated| ; 30.2 (3.2) ; 30.2 (3.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (6) ; 52 (1) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated ; work ; -; |a_dpfifo_br91:dpfifo| ; 27.0 (12.5) ; 27.0 (12.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 53 (24) ; 51 (17) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo ; work ; +; |altera_uart_tx:the_altera_uart_tx| ; 22.3 (22.3) ; 19.3 (19.3) ; 1.1 (1.1) ; 4.1 (4.1) ; 0.0 (0.0) ; 29 (29) ; 32 (32) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx ; work ; +; |uart_fifo:uart_recv_buf| ; 30.3 (0.0) ; 30.3 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (0) ; 47 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf ; work ; +; |scfifo:scfifo_component| ; 30.3 (0.0) ; 30.3 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (0) ; 47 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component ; work ; +; |scfifo_nrc1:auto_generated| ; 30.3 (3.0) ; 30.3 (3.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 59 (6) ; 47 (1) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated ; work ; +; |a_dpfifo_br91:dpfifo| ; 27.1 (12.6) ; 27.3 (12.7) ; 0.2 (0.2) ; 0.0 (0.0) ; 0.0 (0.0) ; 53 (24) ; 46 (16) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo ; work ; ; |altsyncram_fqe1:FIFOram| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram ; work ; -; |cntr_847:usedw_counter| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 15 (15) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter ; work ; +; |cntr_847:usedw_counter| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter ; work ; ; |cntr_k2b:rd_ptr_msb| ; 4.5 (4.5) ; 4.5 (4.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb ; work ; ; |cntr_s3b:wr_ptr| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_s3b:wr_ptr ; work ; -; |uart_fifo:uart_send_buf| ; 32.6 (0.0) ; 30.3 (0.0) ; 0.5 (0.0) ; 2.8 (0.0) ; 0.0 (0.0) ; 58 (0) ; 46 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf ; work ; -; |scfifo:scfifo_component| ; 32.6 (0.0) ; 30.3 (0.0) ; 0.5 (0.0) ; 2.8 (0.0) ; 0.0 (0.0) ; 58 (0) ; 46 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component ; work ; -; |scfifo_nrc1:auto_generated| ; 32.6 (3.3) ; 30.3 (3.3) ; 0.5 (0.0) ; 2.8 (0.0) ; 0.0 (0.0) ; 58 (6) ; 46 (1) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated ; work ; -; |a_dpfifo_br91:dpfifo| ; 29.3 (14.8) ; 27.0 (12.5) ; 0.5 (0.5) ; 2.8 (2.8) ; 0.0 (0.0) ; 52 (23) ; 45 (16) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo ; work ; +; |uart_fifo:uart_send_buf| ; 28.9 (0.0) ; 29.5 (0.0) ; 0.6 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 58 (0) ; 47 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf ; work ; +; |scfifo:scfifo_component| ; 28.9 (0.0) ; 29.5 (0.0) ; 0.6 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 58 (0) ; 47 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component ; work ; +; |scfifo_nrc1:auto_generated| ; 28.9 (2.8) ; 29.5 (2.8) ; 0.6 (0.1) ; 0.0 (0.0) ; 0.0 (0.0) ; 58 (6) ; 47 (1) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated ; work ; +; |a_dpfifo_br91:dpfifo| ; 26.1 (11.6) ; 26.7 (12.2) ; 0.6 (0.6) ; 0.0 (0.0) ; 0.0 (0.0) ; 52 (23) ; 46 (16) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo ; work ; ; |altsyncram_fqe1:FIFOram| ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0 (0) ; 0 (0) ; 0 (0) ; 8192 ; 1 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram ; work ; -; |cntr_847:usedw_counter| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter ; work ; +; |cntr_847:usedw_counter| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 11 (11) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter ; work ; ; |cntr_k2b:rd_ptr_msb| ; 4.5 (4.5) ; 4.5 (4.5) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 9 (9) ; 9 (9) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb ; work ; ; |cntr_s3b:wr_ptr| ; 5.0 (5.0) ; 5.0 (5.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 0.0 (0.0) ; 10 (10) ; 10 (10) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_s3b:wr_ptr ; work ; +-------------------------------------------------------------------+----------------------+----------------------------------+---------------------------------------------------+----------------------------------+----------------------+---------------------+---------------------------+---------------+-------------------+-------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ @@ -2229,510 +2256,522 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; GPIO[35] ; Bidir ; -- ; -- ; -- ; -- ; (0) ; (31) ; -- ; -- ; -- ; ; GPIO[5] ; Bidir ; -- ; -- ; -- ; -- ; (0) ; (31) ; -- ; -- ; -- ; ; GPIO[7] ; Bidir ; -- ; (0) ; -- ; -- ; (0) ; (31) ; -- ; -- ; -- ; -; CLOCK_50 ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; KEY[3] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[8] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[9] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; CLOCK_50 ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; KEY[2] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +; SW[5] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[2] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; SW[4] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[3] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[6] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -; SW[4] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -; SW[5] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -; SW[0] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -; SW[1] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +; SW[0] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; KEY[0] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; -; KEY[1] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +; KEY[1] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; ; SW[7] ; Input ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; -- ; +; SW[1] ; Input ; -- ; -- ; (0) ; -- ; -- ; -- ; -- ; -- ; -- ; +---------------+----------+----+------+------+----+------+-------+--------+------------------------+--------------------------+ -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Pad To Core Delay Chain Fanout ; -+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -; Source Pin / Fanout ; Pad To Core Index ; Setting ; -+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -; ADC_DOUT ; ; ; -; AUD_ADCDAT ; ; ; -; CLOCK2_50 ; ; ; -; CLOCK3_50 ; ; ; -; CLOCK4_50 ; ; ; -; IRDA_RXD ; ; ; -; TD_CLK27 ; ; ; -; TD_DATA[0] ; ; ; -; TD_DATA[1] ; ; ; -; TD_DATA[2] ; ; ; -; TD_DATA[3] ; ; ; -; TD_DATA[4] ; ; ; -; TD_DATA[5] ; ; ; -; TD_DATA[6] ; ; ; -; TD_DATA[7] ; ; ; -; TD_HS ; ; ; -; TD_VS ; ; ; -; AUD_ADCLRCK ; ; ; -; AUD_BCLK ; ; ; -; AUD_DACLRCK ; ; ; -; DRAM_DQ[0] ; ; ; -; DRAM_DQ[1] ; ; ; -; DRAM_DQ[2] ; ; ; -; DRAM_DQ[3] ; ; ; -; DRAM_DQ[4] ; ; ; -; DRAM_DQ[5] ; ; ; -; DRAM_DQ[6] ; ; ; -; DRAM_DQ[7] ; ; ; -; DRAM_DQ[8] ; ; ; -; DRAM_DQ[9] ; ; ; -; DRAM_DQ[10] ; ; ; -; DRAM_DQ[11] ; ; ; -; DRAM_DQ[12] ; ; ; -; DRAM_DQ[13] ; ; ; -; DRAM_DQ[14] ; ; ; -; DRAM_DQ[15] ; ; ; -; FPGA_I2C_SDAT ; ; ; -; PS2_CLK ; ; ; -; PS2_CLK2 ; ; ; -; PS2_DAT ; ; ; -; PS2_DAT2 ; ; ; -; GPIO[0] ; ; ; -; GPIO[1] ; ; ; -; GPIO[2] ; ; ; -; GPIO[3] ; ; ; -; GPIO[4] ; ; ; -; GPIO[6] ; ; ; -; GPIO[8] ; ; ; -; GPIO[9] ; ; ; -; GPIO[10] ; ; ; -; GPIO[11] ; ; ; -; GPIO[12] ; ; ; -; GPIO[13] ; ; ; -; GPIO[14] ; ; ; -; GPIO[15] ; ; ; -; GPIO[16] ; ; ; -; GPIO[17] ; ; ; -; GPIO[18] ; ; ; -; GPIO[19] ; ; ; -; GPIO[20] ; ; ; -; GPIO[21] ; ; ; -; GPIO[22] ; ; ; -; GPIO[23] ; ; ; -; GPIO[24] ; ; ; -; GPIO[25] ; ; ; -; GPIO[26] ; ; ; -; GPIO[27] ; ; ; -; GPIO[28] ; ; ; -; GPIO[29] ; ; ; -; GPIO[30] ; ; ; -; GPIO[31] ; ; ; -; GPIO[32] ; ; ; -; GPIO[33] ; ; ; -; GPIO[34] ; ; ; -; GPIO[35] ; ; ; -; GPIO[5] ; ; ; -; GPIO[7] ; ; ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; 0 ; 0 ; -; - LEDR[1]~output ; 0 ; 0 ; -; CLOCK_50 ; ; ; -; KEY[3] ; ; ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_tx_ready ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[10] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[2] ; 0 ; 0 ; -; - readaddr[13] ; 0 ; 0 ; -; - readaddr[12] ; 0 ; 0 ; -; - readaddr[11] ; 0 ; 0 ; -; - readaddr[10] ; 0 ; 0 ; -; - readaddr[9] ; 0 ; 0 ; -; - readaddr[8] ; 0 ; 0 ; -; - readaddr[31] ; 0 ; 0 ; -; - readaddr[30] ; 0 ; 0 ; -; - readaddr[14] ; 0 ; 0 ; -; - readaddr[15] ; 0 ; 0 ; -; - readaddr[16] ; 0 ; 0 ; -; - readaddr[17] ; 0 ; 0 ; -; - readaddr[18] ; 0 ; 0 ; -; - readaddr[19] ; 0 ; 0 ; -; - readaddr[20] ; 0 ; 0 ; -; - readaddr[21] ; 0 ; 0 ; -; - readaddr[22] ; 0 ; 0 ; -; - readaddr[23] ; 0 ; 0 ; -; - readaddr[24] ; 0 ; 0 ; -; - readaddr[26] ; 0 ; 0 ; -; - readaddr[27] ; 0 ; 0 ; -; - readaddr[25] ; 0 ; 0 ; -; - readaddr[28] ; 0 ; 0 ; -; - readaddr[29] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[3] ; 0 ; 0 ; -; - riscv_core:core|pc[17] ; 0 ; 0 ; -; - riscv_core:core|pc[30] ; 0 ; 0 ; -; - riscv_core:core|pc[29] ; 0 ; 0 ; -; - riscv_core:core|pc[28] ; 0 ; 0 ; -; - riscv_core:core|pc[27] ; 0 ; 0 ; -; - riscv_core:core|pc[26] ; 0 ; 0 ; -; - riscv_core:core|pc[25] ; 0 ; 0 ; -; - riscv_core:core|pc[24] ; 0 ; 0 ; -; - riscv_core:core|pc[23] ; 0 ; 0 ; -; - riscv_core:core|pc[22] ; 0 ; 0 ; -; - riscv_core:core|pc[21] ; 0 ; 0 ; -; - riscv_core:core|pc[20] ; 0 ; 0 ; -; - riscv_core:core|pc[19] ; 0 ; 0 ; -; - riscv_core:core|pc[18] ; 0 ; 0 ; -; - riscv_core:core|pc[16] ; 0 ; 0 ; -; - riscv_core:core|pc[15] ; 0 ; 0 ; -; - riscv_core:core|pc[14] ; 0 ; 0 ; -; - riscv_core:core|pc[13] ; 0 ; 0 ; -; - riscv_core:core|pc[12] ; 0 ; 0 ; -; - riscv_core:core|pc[11] ; 0 ; 0 ; -; - riscv_core:core|pc[10] ; 0 ; 0 ; -; - riscv_core:core|pc[7] ; 0 ; 0 ; -; - riscv_core:core|pc[9] ; 0 ; 0 ; -; - riscv_core:core|pc[8] ; 0 ; 0 ; -; - riscv_core:core|pc[3] ; 0 ; 0 ; -; - riscv_core:core|write ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|send_buf_write ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|send_buf_read ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_read ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_write ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_read ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_addr[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_addr[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[31] ; 0 ; 0 ; -; - riscv_core:core|pc[0] ; 0 ; 0 ; -; - riscv_core:core|pc[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|txd ; 0 ; 0 ; -; - riscv_core:core|state.0100 ; 0 ; 0 ; -; - riscv_core:core|state.0010 ; 0 ; 0 ; -; - riscv_core:core|pc[31] ; 0 ; 0 ; -; - riscv_core:core|state.1000 ; 0 ; 0 ; -; - led0~0 ; 0 ; 0 ; -; - led2~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_char_ready ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; 0 ; 0 ; -; - riscv_core:core|writedata[0]~2 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxrx_in_processxx3 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 0 ; 0 ; -; - riscv_core:core|state.0000 ; 0 ; 0 ; -; - bReadData[13]~8 ; 0 ; 0 ; -; - riscv_core:core|state~22 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_clk_en ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~16 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_clk_en ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~18 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~19 ; 0 ; 0 ; -; - riscv_core:core|pc[31]~3 ; 0 ; 0 ; -; - riscv_core:core|pc~4 ; 0 ; 0 ; -; - riscv_core:core|pc~5 ; 0 ; 0 ; -; - riscv_core:core|pc~6 ; 0 ; 0 ; -; - riscv_core:core|pc~7 ; 0 ; 0 ; -; - riscv_core:core|state~23 ; 0 ; 0 ; -; - riscv_core:core|state~24 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[8]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|readdata[1]~1 ; 0 ; 0 ; -; - riscv_core:core|Mux12~0 ; 0 ; 0 ; -; - riscv_core:core|Selector262~9 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv[9]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv[3]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~2 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~2 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~3 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~3 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~4 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~4 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|newdiv~5 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|lastdiv~5 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxsync_rxdxx1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[10] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~21 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~22 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|ctrlstate~23 ; 0 ; 0 ; -; - riscv_core:core|state~25 ; 0 ; 0 ; -; - riscv_core:core|divclk[4]~0 ; 0 ; 0 ; -; - riscv_core:core|divclk[3]~1 ; 0 ; 0 ; -; - riscv_core:core|divclk[2]~2 ; 0 ; 0 ; -; - riscv_core:core|divclk[0]~3 ; 0 ; 0 ; -; - riscv_core:core|divclk[1]~4 ; 0 ; 0 ; -; - riscv_core:core|pc[0]~33 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[11] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[9] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[14] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[13] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[15] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[12] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[10] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[0]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|recv_buf_data[0]~0 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[2] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[3] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[4] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|uart_write_data[13]~1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[5] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[0] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[6] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[1] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[7] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[8] ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[9] ; 0 ; 0 ; -; - KEY[3]~_wirecell ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3]~DUPLICATE ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0]~DUPLICATE ; 0 ; 0 ; -; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4]~DUPLICATE ; 0 ; 0 ; -; SW[8] ; ; ; -; - led0~0 ; 1 ; 0 ; -; - led2~0 ; 1 ; 0 ; -; - bReadData[8]~17 ; 1 ; 0 ; -; SW[9] ; ; ; -; - led3[2]~0 ; 0 ; 0 ; -; - led3[2]~4 ; 0 ; 0 ; -; - led2~1 ; 0 ; 0 ; -; - led3~5 ; 0 ; 0 ; -; - led5[0]~0 ; 0 ; 0 ; -; - led4~0 ; 0 ; 0 ; -; - led5~1 ; 0 ; 0 ; -; - bReadData[9]~20 ; 0 ; 0 ; -; KEY[2] ; ; ; -; - bReadData[12]~7 ; 1 ; 0 ; -; - riscv_core:core|Selector263~7 ; 1 ; 0 ; -; - riscv_core:core|Selector263~8 ; 1 ; 0 ; -; SW[2] ; ; ; -; - bReadData[2]~10 ; 0 ; 0 ; -; SW[3] ; ; ; -; - bReadData[3]~11 ; 0 ; 0 ; -; SW[6] ; ; ; -; - bReadData[6]~12 ; 1 ; 0 ; -; SW[4] ; ; ; -; - bReadData[4]~13 ; 1 ; 0 ; -; SW[5] ; ; ; -; - bReadData[5]~14 ; 0 ; 0 ; -; SW[0] ; ; ; -; - riscv_core:core|Selector267~2 ; 1 ; 0 ; -; - riscv_core:core|Selector267~3 ; 1 ; 0 ; -; SW[1] ; ; ; -; - riscv_core:core|Selector266~3 ; 1 ; 0 ; -; - riscv_core:core|Selector266~4 ; 1 ; 0 ; -; KEY[0] ; ; ; -; - bReadData[10]~21 ; 0 ; 0 ; -; KEY[1] ; ; ; -; - riscv_core:core|Selector264~2 ; 1 ; 0 ; -; - riscv_core:core|Selector264~3 ; 1 ; 0 ; -; - bReadData[11]~35 ; 1 ; 0 ; -; SW[7] ; ; ; -; - bReadData[7]~34 ; 0 ; 0 ; -+----------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Pad To Core Delay Chain Fanout ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ +; Source Pin / Fanout ; Pad To Core Index ; Setting ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ +; ADC_DOUT ; ; ; +; AUD_ADCDAT ; ; ; +; CLOCK2_50 ; ; ; +; CLOCK3_50 ; ; ; +; CLOCK4_50 ; ; ; +; IRDA_RXD ; ; ; +; TD_CLK27 ; ; ; +; TD_DATA[0] ; ; ; +; TD_DATA[1] ; ; ; +; TD_DATA[2] ; ; ; +; TD_DATA[3] ; ; ; +; TD_DATA[4] ; ; ; +; TD_DATA[5] ; ; ; +; TD_DATA[6] ; ; ; +; TD_DATA[7] ; ; ; +; TD_HS ; ; ; +; TD_VS ; ; ; +; AUD_ADCLRCK ; ; ; +; AUD_BCLK ; ; ; +; AUD_DACLRCK ; ; ; +; DRAM_DQ[0] ; ; ; +; DRAM_DQ[1] ; ; ; +; DRAM_DQ[2] ; ; ; +; DRAM_DQ[3] ; ; ; +; DRAM_DQ[4] ; ; ; +; DRAM_DQ[5] ; ; ; +; DRAM_DQ[6] ; ; ; +; DRAM_DQ[7] ; ; ; +; DRAM_DQ[8] ; ; ; +; DRAM_DQ[9] ; ; ; +; DRAM_DQ[10] ; ; ; +; DRAM_DQ[11] ; ; ; +; DRAM_DQ[12] ; ; ; +; DRAM_DQ[13] ; ; ; +; DRAM_DQ[14] ; ; ; +; DRAM_DQ[15] ; ; ; +; FPGA_I2C_SDAT ; ; ; +; PS2_CLK ; ; ; +; PS2_CLK2 ; ; ; +; PS2_DAT ; ; ; +; PS2_DAT2 ; ; ; +; GPIO[0] ; ; ; +; GPIO[1] ; ; ; +; GPIO[2] ; ; ; +; GPIO[3] ; ; ; +; GPIO[4] ; ; ; +; GPIO[6] ; ; ; +; GPIO[8] ; ; ; +; GPIO[9] ; ; ; +; GPIO[10] ; ; ; +; GPIO[11] ; ; ; +; GPIO[12] ; ; ; +; GPIO[13] ; ; ; +; GPIO[14] ; ; ; +; GPIO[15] ; ; ; +; GPIO[16] ; ; ; +; GPIO[17] ; ; ; +; GPIO[18] ; ; ; +; GPIO[19] ; ; ; +; GPIO[20] ; ; ; +; GPIO[21] ; ; ; +; GPIO[22] ; ; ; +; GPIO[23] ; ; ; +; GPIO[24] ; ; ; +; GPIO[25] ; ; ; +; GPIO[26] ; ; ; +; GPIO[27] ; ; ; +; GPIO[28] ; ; ; +; GPIO[29] ; ; ; +; GPIO[30] ; ; ; +; GPIO[31] ; ; ; +; GPIO[32] ; ; ; +; GPIO[33] ; ; ; +; GPIO[34] ; ; ; +; GPIO[35] ; ; ; +; GPIO[5] ; ; ; +; GPIO[7] ; ; ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; 0 ; 0 ; +; - LEDR[1]~output ; 0 ; 0 ; +; KEY[3] ; ; ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_tx_ready ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[10] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4] ; 0 ; 0 ; +; - readaddr[18] ; 0 ; 0 ; +; - readaddr[15] ; 0 ; 0 ; +; - readaddr[16] ; 0 ; 0 ; +; - readaddr[12] ; 0 ; 0 ; +; - readaddr[24] ; 0 ; 0 ; +; - readaddr[19] ; 0 ; 0 ; +; - readaddr[13] ; 0 ; 0 ; +; - readaddr[21] ; 0 ; 0 ; +; - readaddr[22] ; 0 ; 0 ; +; - readaddr[23] ; 0 ; 0 ; +; - readaddr[25] ; 0 ; 0 ; +; - readaddr[20] ; 0 ; 0 ; +; - readaddr[26] ; 0 ; 0 ; +; - readaddr[8] ; 0 ; 0 ; +; - readaddr[9] ; 0 ; 0 ; +; - readaddr[10] ; 0 ; 0 ; +; - readaddr[11] ; 0 ; 0 ; +; - readaddr[17] ; 0 ; 0 ; +; - readaddr[27] ; 0 ; 0 ; +; - readaddr[14] ; 0 ; 0 ; +; - readaddr[30] ; 0 ; 0 ; +; - readaddr[28] ; 0 ; 0 ; +; - readaddr[31] ; 0 ; 0 ; +; - readaddr[29] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[2] ; 0 ; 0 ; +; - riscv_core:core|pc[13] ; 0 ; 0 ; +; - riscv_core:core|pc[12] ; 0 ; 0 ; +; - riscv_core:core|pc[11] ; 0 ; 0 ; +; - riscv_core:core|pc[10] ; 0 ; 0 ; +; - riscv_core:core|pc[16] ; 0 ; 0 ; +; - riscv_core:core|pc[15] ; 0 ; 0 ; +; - riscv_core:core|pc[30] ; 0 ; 0 ; +; - riscv_core:core|pc[9] ; 0 ; 0 ; +; - riscv_core:core|pc[14] ; 0 ; 0 ; +; - riscv_core:core|pc[8] ; 0 ; 0 ; +; - riscv_core:core|pc[7] ; 0 ; 0 ; +; - riscv_core:core|pc[3] ; 0 ; 0 ; +; - riscv_core:core|pc[31] ; 0 ; 0 ; +; - riscv_core:core|pc[29] ; 0 ; 0 ; +; - riscv_core:core|pc[28] ; 0 ; 0 ; +; - riscv_core:core|pc[27] ; 0 ; 0 ; +; - riscv_core:core|pc[26] ; 0 ; 0 ; +; - riscv_core:core|pc[25] ; 0 ; 0 ; +; - riscv_core:core|pc[24] ; 0 ; 0 ; +; - riscv_core:core|pc[23] ; 0 ; 0 ; +; - riscv_core:core|pc[22] ; 0 ; 0 ; +; - riscv_core:core|pc[21] ; 0 ; 0 ; +; - riscv_core:core|pc[20] ; 0 ; 0 ; +; - riscv_core:core|pc[19] ; 0 ; 0 ; +; - riscv_core:core|pc[18] ; 0 ; 0 ; +; - riscv_core:core|pc[17] ; 0 ; 0 ; +; - riscv_core:core|write ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|send_buf_write ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|send_buf_read ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_read ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_write ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_read ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_addr[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_addr[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[31] ; 0 ; 0 ; +; - riscv_core:core|pc[0] ; 0 ; 0 ; +; - riscv_core:core|pc[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|txd ; 0 ; 0 ; +; - riscv_core:core|state.0101 ; 0 ; 0 ; +; - riscv_core:core|state.0010 ; 0 ; 0 ; +; - riscv_core:core|state.1000 ; 0 ; 0 ; +; - led0~0 ; 0 ; 0 ; +; - led2~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_char_ready ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; 0 ; 0 ; +; - riscv_core:core|writedata[0]~2 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxrx_in_processxx3 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 0 ; 0 ; +; - riscv_core:core|state.0000 ; 0 ; 0 ; +; - bReadData[13]~15 ; 0 ; 0 ; +; - riscv_core:core|state~22 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_clk_en ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_addr[2]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_clk_en ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|ctrlstate~20 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|ctrlstate~21 ; 0 ; 0 ; +; - riscv_core:core|pc[6]~5 ; 0 ; 0 ; +; - riscv_core:core|pc~6 ; 0 ; 0 ; +; - riscv_core:core|pc~7 ; 0 ; 0 ; +; - riscv_core:core|pc~8 ; 0 ; 0 ; +; - riscv_core:core|pc~9 ; 0 ; 0 ; +; - riscv_core:core|state~23 ; 0 ; 0 ; +; - riscv_core:core|state~24 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[25]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|readdata[4]~1 ; 0 ; 0 ; +; - riscv_core:core|Selector270~1 ; 0 ; 0 ; +; - riscv_core:core|Selector270~2 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv[9]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv[9]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~2 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~2 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~3 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~3 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~4 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~4 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|newdiv~5 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|lastdiv~5 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxsync_rxdxx1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[10] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|ctrlstate~22 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|ctrlstate.00000010~0 ; 0 ; 0 ; +; - riscv_core:core|state~25 ; 0 ; 0 ; +; - riscv_core:core|divclk[4]~0 ; 0 ; 0 ; +; - riscv_core:core|divclk[3]~1 ; 0 ; 0 ; +; - riscv_core:core|divclk[2]~2 ; 0 ; 0 ; +; - riscv_core:core|divclk[0]~3 ; 0 ; 0 ; +; - riscv_core:core|divclk[1]~4 ; 0 ; 0 ; +; - riscv_core:core|pc[0]~37 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[10] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[15] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[14] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[13] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[12] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[11] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[9] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[7]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|din_s1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|recv_buf_data[6]~0 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[2] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[4] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[3] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|readdata[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|uart_write_data[12]~1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[5] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[0] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[6] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[7] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rx_data[1] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[8] ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[9] ; 0 ; 0 ; +; - KEY[3]~_wirecell ; 0 ; 0 ; +; - clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll~FRACTIONAL_PLL ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[4]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[2]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[7]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_data[3]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2]~DUPLICATE ; 0 ; 0 ; +; - uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5]~DUPLICATE ; 0 ; 0 ; +; SW[8] ; ; ; +; - led0~0 ; 1 ; 0 ; +; - led2~0 ; 1 ; 0 ; +; - bReadData[8]~36 ; 1 ; 0 ; +; SW[9] ; ; ; +; - led1[1]~0 ; 0 ; 0 ; +; - led1[1]~4 ; 0 ; 0 ; +; - led2~1 ; 0 ; 0 ; +; - led3~0 ; 0 ; 0 ; +; - led4[1]~0 ; 0 ; 0 ; +; - led4~1 ; 0 ; 0 ; +; - led5~0 ; 0 ; 0 ; +; - bReadData[9]~46 ; 0 ; 0 ; +; CLOCK_50 ; ; ; +; KEY[2] ; ; ; +; - bReadData[12]~13 ; 1 ; 0 ; +; - riscv_core:core|Selector271~2 ; 1 ; 0 ; +; - riscv_core:core|Selector271~3 ; 1 ; 0 ; +; SW[5] ; ; ; +; - bReadData[5]~22 ; 1 ; 0 ; +; SW[2] ; ; ; +; - bReadData[2]~25 ; 0 ; 0 ; +; SW[4] ; ; ; +; - bReadData[4]~27 ; 0 ; 0 ; +; SW[3] ; ; ; +; - bReadData[3]~29 ; 0 ; 0 ; +; SW[6] ; ; ; +; - bReadData[6]~31 ; 1 ; 0 ; +; SW[0] ; ; ; +; - riscv_core:core|Selector275~3 ; 0 ; 0 ; +; - riscv_core:core|Selector275~4 ; 0 ; 0 ; +; KEY[0] ; ; ; +; - bReadData[10]~48 ; 0 ; 0 ; +; KEY[1] ; ; ; +; - bReadData[11]~56 ; 0 ; 0 ; +; SW[7] ; ; ; +; - riscv_core:core|Mux1~3 ; 0 ; 0 ; +; - bReadData[7]~60 ; 0 ; 0 ; +; SW[1] ; ; ; +; - riscv_core:core|Selector274~3 ; 1 ; 0 ; +; - riscv_core:core|Selector274~4 ; 1 ; 0 ; ++--------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+---------+ -+------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Control Signals ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ -; CLOCK_50 ; PIN_AF14 ; 2122 ; Clock ; yes ; Global Clock ; GCLK6 ; -- ; -; Equal6~0 ; LABCELL_X33_Y28_N12 ; 356 ; Sync. clear ; no ; -- ; -- ; -- ; -; KEY[3] ; PIN_Y16 ; 267 ; Async. clear, Sync. clear ; no ; -- ; -- ; -- ; -; comb~42 ; MLABCELL_X39_Y26_N12 ; 8 ; Write enable ; no ; -- ; -- ; -- ; -; led3[2]~0 ; LABCELL_X40_Y29_N45 ; 38 ; Sync. load ; no ; -- ; -- ; -- ; -; led3[2]~4 ; LABCELL_X40_Y29_N15 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; -; led5[0]~0 ; LABCELL_X40_Y29_N3 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; M10K_X38_Y28_N0 ; 52 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|Selector165~1 ; LABCELL_X40_Y28_N9 ; 2 ; Write enable ; no ; -- ; -- ; -- ; -; riscv_core:core|bReadAddr[4]~0 ; LABCELL_X31_Y23_N3 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|bReadAddr[4]~8 ; MLABCELL_X25_Y26_N33 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561] ; MLABCELL_X52_Y23_N39 ; 20 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[627] ; MLABCELL_X52_Y25_N9 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[726] ; LABCELL_X56_Y31_N33 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[825] ; LABCELL_X53_Y32_N36 ; 37 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[891] ; MLABCELL_X52_Y32_N51 ; 38 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[990] ; LABCELL_X50_Y28_N3 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|cout_actual ; LABCELL_X42_Y31_N18 ; 4 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|cout_actual ; LABCELL_X24_Y34_N24 ; 7 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|cout_actual ; LABCELL_X46_Y31_N54 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|cout_actual ; LABCELL_X43_Y31_N42 ; 7 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[198] ; LABCELL_X37_Y33_N21 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[297] ; LABCELL_X46_Y27_N51 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[363] ; MLABCELL_X47_Y28_N42 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[462] ; LABCELL_X43_Y24_N27 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[561] ; LABCELL_X42_Y28_N18 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[627] ; LABCELL_X43_Y30_N39 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[726] ; MLABCELL_X39_Y36_N18 ; 26 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[825] ; MLABCELL_X34_Y36_N42 ; 31 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[891] ; MLABCELL_X34_Y36_N30 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[990] ; LABCELL_X24_Y36_N42 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[99] ; LABCELL_X37_Y34_N45 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|dstvalue[19]~49 ; LABCELL_X31_Y23_N57 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|dstvalue[5]~2 ; LABCELL_X29_Y24_N0 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|dstvalue[5]~7 ; LABCELL_X29_Y24_N21 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[18]~11 ; LABCELL_X27_Y26_N54 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[19]~3 ; LABCELL_X31_Y23_N12 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[26]~6 ; LABCELL_X31_Y23_N42 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[4]~1 ; LABCELL_X35_Y24_N48 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|imm[4]~2 ; LABCELL_X35_Y24_N36 ; 6 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|pc[0]~33 ; MLABCELL_X34_Y27_N15 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|pc[31]~3 ; LABCELL_X30_Y24_N15 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|state.0011 ; FF_X30_Y31_N17 ; 40 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|writedata[0]~2 ; LABCELL_X31_Y23_N51 ; 69 ; Clock enable ; no ; -- ; -- ; -- ; -; riscv_core:core|writedata[16]~5 ; LABCELL_X37_Y31_N15 ; 9 ; Sync. clear ; no ; -- ; -- ; -- ; -; riscv_core:core|writedata[3]~0 ; LABCELL_X37_Y31_N3 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|Equal1~0 ; MLABCELL_X25_Y27_N48 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|divisor_wr_strobe ; LABCELL_X43_Y26_N24 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_wr_strobe ; LABCELL_X43_Y26_N51 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|got_new_char ; LABCELL_X46_Y25_N3 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~0 ; LABCELL_X46_Y25_N18 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|always4~0 ; LABCELL_X40_Y29_N27 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; FF_X40_Y29_N23 ; 13 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_in[0]~1 ; LABCELL_X40_Y29_N54 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|always0~0 ; LABCELL_X31_Y22_N15 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|ctrlstate.000 ; FF_X40_Y30_N29 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|ctrlstate~16 ; LABCELL_X40_Y30_N24 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|ctrlstate~20 ; LABCELL_X40_Y30_N42 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|lastdiv[3]~0 ; LABCELL_X40_Y26_N27 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|newdiv[9]~0 ; LABCELL_X37_Y30_N27 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|readdata[1]~1 ; MLABCELL_X28_Y22_N45 ; 22 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|readdata[8]~0 ; MLABCELL_X28_Y22_N9 ; 14 ; Sync. clear ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|recv_buf_data[0]~0 ; LABCELL_X40_Y31_N0 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; LABCELL_X40_Y29_N6 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~6 ; MLABCELL_X28_Y22_N39 ; 15 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; LABCELL_X27_Y21_N54 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; MLABCELL_X28_Y22_N57 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; MLABCELL_X28_Y22_N12 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~1 ; LABCELL_X31_Y22_N51 ; 10 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; MLABCELL_X25_Y22_N18 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; LABCELL_X31_Y22_N12 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; LABCELL_X43_Y26_N15 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_write_data[0]~0 ; LABCELL_X40_Y30_N51 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; -; uart_ctrl:uart_ctrl|uart_write_data[13]~1 ; LABCELL_X42_Y24_N6 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Control Signals ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Usage ; Global ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ +; Equal7~0 ; MLABCELL_X25_Y29_N18 ; 160 ; Sync. clear ; no ; -- ; -- ; -- ; +; KEY[3] ; PIN_Y16 ; 271 ; Async. clear, Sync. clear ; no ; -- ; -- ; -- ; +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0] ; PLLOUTPUTCOUNTER_X0_Y20_N1 ; 2235 ; Clock ; yes ; Global Clock ; GCLK0 ; -- ; +; led1[1]~0 ; MLABCELL_X34_Y22_N33 ; 38 ; Sync. load ; no ; -- ; -- ; -- ; +; led1[1]~4 ; MLABCELL_X34_Y22_N45 ; 28 ; Clock enable ; no ; -- ; -- ; -- ; +; led4[1]~0 ; MLABCELL_X34_Y22_N42 ; 14 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1121w[2]~0 ; MLABCELL_X34_Y23_N3 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1135w[2]~0 ; MLABCELL_X34_Y23_N30 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1135w[2]~1 ; MLABCELL_X34_Y23_N39 ; 32 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1083w[2] ; MLABCELL_X34_Y23_N15 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1096w[2] ; MLABCELL_X34_Y23_N12 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1096w[2]~0 ; MLABCELL_X34_Y23_N6 ; 33 ; Clock enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1104w[2] ; MLABCELL_X34_Y23_N33 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1112w[2] ; MLABCELL_X34_Y23_N36 ; 32 ; Write enable ; no ; -- ; -- ; -- ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; M10K_X26_Y29_N0 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|Selector186~1 ; LABCELL_X24_Y28_N48 ; 4 ; Write enable ; no ; -- ; -- ; -- ; +; riscv_core:core|bReadAddr[21]~0 ; LABCELL_X23_Y22_N48 ; 39 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|bReadAddr[21]~19 ; LABCELL_X30_Y23_N45 ; 3 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[198] ; LABCELL_X40_Y30_N48 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[297] ; LABCELL_X40_Y31_N15 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[363] ; LABCELL_X37_Y22_N9 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[462] ; MLABCELL_X47_Y34_N21 ; 17 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561] ; LABCELL_X48_Y34_N27 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[627] ; MLABCELL_X39_Y34_N3 ; 33 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[726] ; LABCELL_X45_Y31_N51 ; 32 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[825] ; LABCELL_X48_Y31_N57 ; 28 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[891] ; LABCELL_X56_Y30_N6 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[990] ; LABCELL_X56_Y27_N42 ; 36 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[99] ; LABCELL_X43_Y29_N39 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|cout_actual ; LABCELL_X48_Y29_N48 ; 6 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|cout_actual ; LABCELL_X35_Y24_N48 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|cout_actual ; LABCELL_X40_Y28_N48 ; 5 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[198] ; LABCELL_X31_Y25_N0 ; 9 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[297] ; LABCELL_X35_Y32_N48 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[363] ; LABCELL_X33_Y31_N6 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[462] ; LABCELL_X31_Y30_N45 ; 18 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[561] ; LABCELL_X37_Y29_N30 ; 19 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[627] ; LABCELL_X40_Y36_N39 ; 25 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[726] ; MLABCELL_X39_Y36_N18 ; 25 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[825] ; LABCELL_X51_Y28_N9 ; 29 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[891] ; LABCELL_X50_Y26_N48 ; 35 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[990] ; MLABCELL_X47_Y21_N27 ; 39 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[99] ; LABCELL_X35_Y26_N15 ; 5 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|cout_actual ; LABCELL_X55_Y26_N48 ; 4 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|dstvalue[16]~46 ; LABCELL_X23_Y24_N24 ; 12 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|dstvalue[4]~5 ; LABCELL_X19_Y27_N3 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|dstvalue[4]~9 ; LABCELL_X19_Y27_N39 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|imm[0]~3 ; MLABCELL_X34_Y26_N39 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|imm[18]~7 ; MLABCELL_X34_Y26_N57 ; 8 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|imm[24]~4 ; LABCELL_X30_Y25_N24 ; 11 ; Sync. load ; no ; -- ; -- ; -- ; +; riscv_core:core|imm[4]~0 ; MLABCELL_X34_Y26_N6 ; 29 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|pc[0]~37 ; LABCELL_X19_Y27_N27 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|pc[6]~5 ; LABCELL_X24_Y25_N3 ; 30 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|state.0011 ; FF_X24_Y25_N20 ; 29 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|writedata[0]~2 ; MLABCELL_X39_Y26_N33 ; 69 ; Clock enable ; no ; -- ; -- ; -- ; +; riscv_core:core|writedata[19]~5 ; MLABCELL_X28_Y22_N51 ; 9 ; Sync. clear ; no ; -- ; -- ; -- ; +; riscv_core:core|writedata[4]~0 ; MLABCELL_X28_Y22_N36 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|divisor_wr_strobe ; LABCELL_X42_Y27_N30 ; 18 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_wr_strobe ; LABCELL_X42_Y27_N9 ; 12 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|got_new_char ; MLABCELL_X59_Y31_N33 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3]~0 ; MLABCELL_X59_Y31_N18 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|always4~0 ; LABCELL_X37_Y27_N57 ; 16 ; Sync. load ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; FF_X37_Y24_N20 ; 14 ; Sync. load ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_in[0]~1 ; LABCELL_X37_Y24_N27 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|always0~0 ; MLABCELL_X39_Y23_N12 ; 12 ; Sync. load ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|ctrlstate.00000000 ; FF_X34_Y29_N56 ; 10 ; Sync. load ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|lastdiv[9]~0 ; MLABCELL_X34_Y29_N57 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|newdiv[9]~0 ; MLABCELL_X34_Y22_N39 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|readdata[25]~0 ; LABCELL_X33_Y24_N30 ; 16 ; Sync. clear ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|readdata[4]~1 ; LABCELL_X29_Y23_N12 ; 24 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|recv_buf_data[6]~0 ; LABCELL_X37_Y26_N3 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; MLABCELL_X34_Y22_N30 ; 8 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_addr[2]~0 ; MLABCELL_X34_Y29_N39 ; 2 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~6 ; LABCELL_X36_Y26_N0 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; MLABCELL_X39_Y28_N54 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; LABCELL_X29_Y23_N36 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; LABCELL_X37_Y26_N15 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~1 ; MLABCELL_X39_Y23_N9 ; 11 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; LABCELL_X40_Y23_N18 ; 9 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; MLABCELL_X39_Y23_N3 ; 17 ; Clock enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; MLABCELL_X39_Y23_N6 ; 26 ; Clock enable, Write enable ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_write_data[12]~1 ; LABCELL_X43_Y27_N57 ; 8 ; Sync. clear ; no ; -- ; -- ; -- ; +; uart_ctrl:uart_ctrl|uart_write_data[7]~0 ; MLABCELL_X34_Y29_N51 ; 16 ; Clock enable ; no ; -- ; -- ; -- ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------+---------+----------------------------+--------+----------------------+------------------+---------------------------+ -+-----------------------------------------------------------------------------------------------------+ -; Global & Other Fast Signals ; -+----------+----------+---------+----------------------+------------------+---------------------------+ -; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; -+----------+----------+---------+----------------------+------------------+---------------------------+ -; CLOCK_50 ; PIN_AF14 ; 2122 ; Global Clock ; GCLK6 ; -- ; -+----------+----------+---------+----------------------+------------------+---------------------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Global & Other Fast Signals ; ++---------------------------------------------------------------------------------+----------------------------+---------+----------------------+------------------+---------------------------+ +; Name ; Location ; Fan-Out ; Global Resource Used ; Global Line Name ; Enable Signal Source Name ; ++---------------------------------------------------------------------------------+----------------------------+---------+----------------------+------------------+---------------------------+ +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0] ; PLLOUTPUTCOUNTER_X0_Y20_N1 ; 2235 ; Global Clock ; GCLK0 ; -- ; ++---------------------------------------------------------------------------------+----------------------------+---------+----------------------+------------------+---------------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2740,455 +2779,535 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ ; Name ; Fan-Out ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; Equal6~0 ; 356 ; -; KEY[3]~input ; 267 ; -; riscv_core:core|instr[12] ; 205 ; +; Equal6~0 ; 277 ; +; KEY[3]~input ; 271 ; +; riscv_core:core|instr[12] ; 179 ; ; riscv_core:core|instr[13] ; 175 ; -; comb~38 ; 102 ; -; riscv_core:core|imm[1]~DUPLICATE ; 80 ; -; comb~5 ; 75 ; -; riscv_core:core|instr[2] ; 73 ; -; comb~3 ; 72 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; 71 ; -; riscv_core:core|imm[0] ; 71 ; +; Equal7~0 ; 160 ; +; ramaddr[12]~14 ; 128 ; +; ramaddr[11]~13 ; 128 ; +; ramaddr[10]~12 ; 128 ; +; ramaddr[9]~11 ; 128 ; +; ramaddr[8]~10 ; 128 ; +; ramaddr[7]~9 ; 128 ; +; ramaddr[6]~8 ; 128 ; +; ramaddr[5]~7 ; 128 ; +; ramaddr[4]~6 ; 128 ; +; ramaddr[3]~5 ; 128 ; +; ramaddr[2]~4 ; 128 ; +; ramaddr[1]~3 ; 128 ; +; ramaddr[0]~2 ; 128 ; +; comb~3 ; 103 ; +; riscv_core:core|imm[1] ; 96 ; +; comb~44 ; 88 ; +; comb~1 ; 84 ; +; riscv_core:core|imm[0] ; 76 ; ; riscv_core:core|writedata[0]~2 ; 69 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|add_sub_31_result_int[32]~1 ; 66 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[3] ; 62 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; 68 ; +; riscv_core:core|imm[3] ; 68 ; +; riscv_core:core|writedata[6] ; 68 ; +; riscv_core:core|writedata[5] ; 68 ; +; riscv_core:core|writedata[4] ; 68 ; +; riscv_core:core|writedata[3] ; 68 ; +; riscv_core:core|writedata[2] ; 68 ; +; riscv_core:core|writedata[1] ; 68 ; +; riscv_core:core|writedata[0] ; 68 ; +; riscv_core:core|writedata[14] ; 67 ; +; riscv_core:core|writedata[13] ; 67 ; +; riscv_core:core|writedata[12] ; 67 ; +; riscv_core:core|writedata[11] ; 67 ; +; riscv_core:core|writedata[10] ; 67 ; +; riscv_core:core|writedata[9] ; 67 ; +; riscv_core:core|writedata[8] ; 67 ; +; riscv_core:core|writedata[7] ; 66 ; +; riscv_core:core|writedata[15] ; 65 ; +; riscv_core:core|writedata[30] ; 65 ; +; riscv_core:core|writedata[29] ; 65 ; +; riscv_core:core|writedata[28] ; 65 ; +; riscv_core:core|writedata[27] ; 65 ; +; riscv_core:core|writedata[26] ; 65 ; +; riscv_core:core|writedata[25] ; 65 ; +; riscv_core:core|writedata[24] ; 65 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|add_sub_31_result_int[32]~1 ; 65 ; +; riscv_core:core|writedata[22] ; 65 ; +; riscv_core:core|writedata[21] ; 65 ; +; riscv_core:core|writedata[20] ; 65 ; +; riscv_core:core|writedata[19] ; 65 ; +; riscv_core:core|writedata[18] ; 65 ; +; riscv_core:core|writedata[17] ; 65 ; +; riscv_core:core|writedata[16] ; 65 ; +; riscv_core:core|writedata[31] ; 64 ; +; riscv_core:core|writedata[23] ; 64 ; +; comb~5 ; 63 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[957] ; 61 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[957] ; 61 ; -; riscv_core:core|instr[14] ; 60 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[924] ; 59 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[924] ; 59 ; +; riscv_core:core|instr[14] ; 56 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[858] ; 55 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[858] ; 55 ; -; riscv_core:core|instr[5] ; 55 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; 52 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[792] ; 51 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[792] ; 51 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[759] ; 49 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[759] ; 49 ; -; riscv_core:core|imm[2] ; 46 ; -; riscv_core:core|imm[3]~DUPLICATE ; 45 ; +; riscv_core:core|instr[2] ; 48 ; +; riscv_core:core|state.0100 ; 47 ; +; riscv_core:core|state.0101 ; 46 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[693] ; 45 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[693] ; 45 ; +; riscv_core:core|imm[2] ; 45 ; +; comb~4 ; 44 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[660] ; 43 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[660] ; 43 ; -; riscv_core:core|state.1000 ; 43 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[1] ; 43 ; -; riscv_core:core|dstvalue[30]~1 ; 42 ; -; riscv_core:core|state.0101 ; 42 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[2] ; 42 ; -; riscv_core:core|state.0011 ; 40 ; -; riscv_core:core|instr[6] ; 40 ; -; riscv_core:core|state.0100 ; 40 ; +; riscv_core:core|state.1000 ; 42 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[594] ; 39 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[594] ; 39 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[990] ; 39 ; -; riscv_core:core|bReadAddr[4]~0 ; 39 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[891] ; 38 ; -; led3[2]~0 ; 38 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[825] ; 37 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[627] ; 36 ; -; riscv_core:core|instr[25] ; 36 ; +; riscv_core:core|bReadAddr[21]~0 ; 39 ; +; riscv_core:core|instr[5] ; 38 ; +; led1[1]~0 ; 38 ; +; riscv_core:core|Equal11~7 ; 37 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[891] ; 36 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[990] ; 36 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|address_reg_a[0] ; 36 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|address_reg_a[1] ; 36 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; 36 ; ; riscv_core:core|state.0010 ; 36 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[891] ; 35 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[528] ; 35 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[528] ; 35 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[990] ; 35 ; -; riscv_core:core|instr[30] ; 35 ; -; riscv_core:core|Equal11~7 ; 35 ; -; comb~7 ; 35 ; -; Equal1~4 ; 34 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[495] ; 33 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[495] ; 33 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[627] ; 33 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[726] ; 33 ; -; riscv_core:core|dstvalue[10]~0 ; 33 ; -; riscv_core:core|instr[3] ; 33 ; -; riscv_core:core|imm[19]~3 ; 32 ; -; comb~6 ; 32 ; -; readaddr[8] ; 32 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[825] ; 31 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[495] ; 31 ; -; riscv_core:core|dstvalue[5]~7 ; 30 ; -; riscv_core:core|pc[31]~3 ; 30 ; -; riscv_core:core|pc[17]~1 ; 30 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[0] ; 30 ; +; riscv_core:core|instr[30] ; 33 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1121w[2]~0 ; 33 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1096w[2]~0 ; 33 ; +; riscv_core:core|writemask[3]~_wirecell ; 32 ; +; riscv_core:core|writemask[2]~_wirecell ; 32 ; +; riscv_core:core|writemask[1]~_wirecell ; 32 ; +; riscv_core:core|writemask[0]~_wirecell ; 32 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[726] ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1083w[2] ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1096w[2] ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1135w[2]~1 ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1112w[2] ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode|w_anode1135w[2]~0 ; 32 ; +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3|w_anode1104w[2] ; 32 ; +; riscv_core:core|pc[13]~1 ; 31 ; +; riscv_core:core|dstvalue[4]~9 ; 30 ; +; riscv_core:core|pc[6]~5 ; 30 ; +; riscv_core:core|pc[13]~3 ; 30 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[429] ; 29 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[429] ; 29 ; -; Equal0~2 ; 29 ; -; led3[2]~4 ; 28 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[825] ; 29 ; +; riscv_core:core|dstvalue[13]~26 ; 29 ; +; riscv_core:core|imm[4]~0 ; 29 ; +; riscv_core:core|state.0011 ; 29 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[825] ; 28 ; +; riscv_core:core|ldaddr[1] ; 28 ; +; comb~6 ; 28 ; +; led1[1]~4 ; 28 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[396] ; 27 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[396] ; 27 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[429] ; 27 ; -; riscv_core:core|dstvalue[19]~12 ; 27 ; -; riscv_core:core|ldaddr[1] ; 27 ; +; riscv_core:core|dstvalue[13]~25 ; 27 ; +; riscv_core:core|dstvalue[13]~24 ; 27 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; 27 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_wreq ; 27 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[15] ; 27 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[726] ; 26 ; -; riscv_core:core|instr[31] ; 26 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[13] ; 26 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[396] ; 25 ; -; riscv_core:core|dstvalue[10]~34 ; 25 ; -; riscv_core:core|imm[4] ; 25 ; -; riscv_core:core|dstvalue[10]~28 ; 24 ; -; comb~29 ; 24 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[4] ; 24 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[17] ; 24 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[19] ; 24 ; +; riscv_core:core|imm[4] ; 26 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[627] ; 25 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[726] ; 25 ; +; bReadData[31]~62 ; 25 ; +; uart_ctrl:uart_ctrl|readdata[4]~1 ; 24 ; +; comb~43 ; 24 ; +; Equal0~7 ; 24 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[16] ; 24 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[330] ; 23 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[330] ; 23 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[16] ; 23 ; -; riscv_core:core|Selector229~2 ; 22 ; -; riscv_core:core|dstvalue[10]~32 ; 22 ; -; uart_ctrl:uart_ctrl|readdata[1]~1 ; 22 ; -; comb~13 ; 22 ; -; comb~12 ; 22 ; -; comb~11 ; 22 ; -; comb~10 ; 22 ; -; comb~9 ; 22 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[11] ; 22 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[18] ; 22 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[21] ; 22 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[330] ; 21 ; -; riscv_core:core|dstvalue[19]~37 ; 21 ; -; riscv_core:core|imm[3] ; 21 ; -; comb~25 ; 21 ; -; comb~16 ; 21 ; -; comb~15 ; 21 ; -; comb~14 ; 21 ; -; comb~8 ; 21 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561] ; 20 ; -; riscv_core:core|dstvalue[10]~10 ; 20 ; -; comb~41 ; 20 ; -; comb~40 ; 20 ; -; comb~39 ; 20 ; -; comb~28 ; 20 ; -; comb~27 ; 20 ; -; comb~26 ; 20 ; -; comb~24 ; 20 ; -; comb~22 ; 20 ; -; comb~21 ; 20 ; -; comb~20 ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[23] ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[5] ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[10] ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[14] ; 20 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[20] ; 20 ; +; riscv_core:core|dstvalue[13]~32 ; 23 ; +; riscv_core:core|instr[6] ; 23 ; +; riscv_core:core|dstvalue[13]~31 ; 22 ; +; riscv_core:core|Selector237~2 ; 22 ; +; riscv_core:core|dstvalue[13]~30 ; 21 ; +; bReadData[2]~25 ; 21 ; +; Equal1~1 ; 21 ; +; riscv_core:core|state.0001 ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[14] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[15] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[18] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[0] ; 21 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[13] ; 20 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[17] ; 20 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[19] ; 20 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[264] ; 19 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[264] ; 19 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[363] ; 19 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[363] ; 19 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561] ; 19 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[2] ; 19 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[561] ; 19 ; -; riscv_core:core|Mux26~0 ; 19 ; -; comb~30 ; 19 ; -; comb~23 ; 19 ; -; comb~19 ; 19 ; -; comb~18 ; 19 ; -; comb~17 ; 19 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[7] ; 19 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[9] ; 19 ; -; riscv_core:core|instr[4] ; 18 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[22] ; 18 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[25] ; 18 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[27] ; 18 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[8] ; 18 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|divisor_wr_strobe ; 18 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[462] ; 18 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[1] ; 18 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[0] ; 18 ; +; bReadData[6]~31 ; 18 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[10] ; 18 ; ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[12] ; 18 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[264] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[20] ; 18 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[21] ; 18 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[231] ; 17 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[231] ; 17 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[462] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[1] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[0] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[2] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[0] ; 17 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|Equal0~3 ; 17 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|rxd_edge ; 17 ; +; comb~70 ; 17 ; +; comb~58 ; 17 ; +; comb~57 ; 17 ; +; comb~56 ; 17 ; +; comb~55 ; 17 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; 17 ; -; riscv_core:core|writedata[16]~3 ; 17 ; +; riscv_core:core|writedata[19]~3 ; 17 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|valid_rreq ; 17 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[28] ; 17 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[29] ; 17 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[30] ; 17 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[6] ; 17 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|divisor_wr_strobe ; 16 ; -; uart_ctrl:uart_ctrl|uart_write_data[0]~0 ; 16 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2] ; 17 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[11] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[1] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[2] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[7] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[22] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[23] ; 17 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[25] ; 17 ; +; riscv_core:core|write ; 17 ; +; uart_ctrl:uart_ctrl|uart_write_data[7]~0 ; 16 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|always4~0 ; 16 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[462] ; 16 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[2] ; 16 ; -; uart_ctrl:uart_ctrl|lastdiv[3]~0 ; 16 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[1] ; 16 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[0] ; 16 ; +; uart_ctrl:uart_ctrl|lastdiv[9]~0 ; 16 ; ; uart_ctrl:uart_ctrl|newdiv[9]~0 ; 16 ; -; riscv_core:core|dstvalue[10]~27 ; 16 ; -; riscv_core:core|ldaddr[0] ; 16 ; -; riscv_core:core|writedata[3]~0 ; 16 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[24] ; 16 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[231] ; 15 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[462] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1|counter_reg_bit[1] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1|counter_reg_bit[0] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[1] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[0] ; 15 ; -; riscv_core:core|dstreg[4]~0 ; 15 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~6 ; 15 ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[26] ; 15 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[297] ; 14 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[363] ; 14 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[2] ; 14 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[0] ; 14 ; -; riscv_core:core|imm[26]~6 ; 14 ; -; uart_ctrl:uart_ctrl|readdata[8]~0 ; 14 ; -; riscv_core:core|dstvalue[30]~5 ; 14 ; -; led5[0]~0 ; 14 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1] ; 14 ; +; riscv_core:core|dstvalue[13]~23 ; 16 ; +; uart_ctrl:uart_ctrl|readdata[25]~0 ; 16 ; +; riscv_core:core|instr[25] ; 16 ; +; comb~54 ; 16 ; +; comb~51 ; 16 ; +; comb~50 ; 16 ; +; riscv_core:core|writedata[4]~0 ; 16 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[4] ; 16 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[8] ; 16 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[27] ; 16 ; +; riscv_core:core|dstvalue[3]~19 ; 15 ; +; riscv_core:core|ldaddr[0] ; 15 ; +; comb~71 ; 15 ; +; comb~69 ; 15 ; +; comb~68 ; 15 ; +; comb~67 ; 15 ; +; comb~64 ; 15 ; +; comb~61 ; 15 ; +; comb~60 ; 15 ; +; comb~59 ; 15 ; +; comb~47 ; 15 ; +; comb~46 ; 15 ; +; comb~45 ; 15 ; +; comb~39 ; 15 ; +; comb~8 ; 15 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; 15 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; 15 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[9] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[3] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[6] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[28] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[29] ; 15 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[30] ; 15 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[2] ; 15 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[3] ; 15 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2]~DUPLICATE ; 14 ; +; riscv_core:core|dstvalue[16]~43 ; 14 ; +; riscv_core:core|dstvalue[16]~42 ; 14 ; +; riscv_core:core|dstvalue[13]~22 ; 14 ; +; riscv_core:core|dstvalue[3]~8 ; 14 ; +; comb~66 ; 14 ; +; comb~63 ; 14 ; +; comb~53 ; 14 ; +; comb~52 ; 14 ; +; comb~41 ; 14 ; +; comb~40 ; 14 ; +; Equal0~6 ; 14 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 14 ; +; comb~12 ; 14 ; +; comb~11 ; 14 ; +; comb~10 ; 14 ; +; comb~9 ; 14 ; +; led4[1]~0 ; 14 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; 14 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; 14 ; ; riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component|mult_81n:auto_generated|Mult0~523 ; 14 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[5] ; 14 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[24] ; 14 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3]~DUPLICATE ; 13 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[165] ; 13 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[165] ; 13 ; -; riscv_core:core|Mux183~0 ; 13 ; ; riscv_core:core|state.0110 ; 13 ; -; riscv_core:core|state.0001 ; 13 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 13 ; -; riscv_core:core|write ; 13 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[363] ; 12 ; -; riscv_core:core|dstvalue[19]~49 ; 12 ; -; riscv_core:core|dstvalue[19]~46 ; 12 ; -; riscv_core:core|dstvalue[19]~45 ; 12 ; -; riscv_core:core|dstvalue[10]~36 ; 12 ; -; riscv_core:core|ShiftRight1~22 ; 12 ; -; riscv_core:core|dstvalue[10]~19 ; 12 ; -; riscv_core:core|dstvalue[10]~17 ; 12 ; -; Equal0~3 ; 12 ; -; uart_ctrl:uart_ctrl|ctrlstate.000 ; 12 ; +; comb~65 ; 13 ; +; comb~62 ; 13 ; +; comb~48 ; 13 ; +; comb~42 ; 13 ; +; bReadData[25]~1 ; 13 ; +; bReadData[25]~0 ; 13 ; +; comb~28 ; 13 ; +; comb~27 ; 13 ; +; comb~15 ; 13 ; +; comb~14 ; 13 ; +; comb~13 ; 13 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1] ; 13 ; +; readaddr[8] ; 13 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 12 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[297] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[297] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[2] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[1] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[0] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|cntr_phf:cntr1|counter_reg_bit[1] ; 12 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|cntr_phf:cntr1|counter_reg_bit[0] ; 12 ; +; riscv_core:core|dstvalue[16]~46 ; 12 ; +; riscv_core:core|dstvalue[16]~41 ; 12 ; +; riscv_core:core|dstvalue[16]~40 ; 12 ; +; riscv_core:core|dstvalue[13]~21 ; 12 ; +; riscv_core:core|dstvalue[13]~20 ; 12 ; +; riscv_core:core|dstvalue[13]~17 ; 12 ; +; riscv_core:core|dstvalue[13]~16 ; 12 ; +; riscv_core:core|dstvalue[13]~12 ; 12 ; +; riscv_core:core|dstvalue[13]~11 ; 12 ; +; riscv_core:core|Selector275~6 ; 12 ; +; comb~72 ; 12 ; +; comb~49 ; 12 ; +; comb~38 ; 12 ; ; uart_ctrl:uart_ctrl|always0~0 ; 12 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_wr_strobe ; 12 ; +; comb~26 ; 12 ; +; comb~25 ; 12 ; +; comb~24 ; 12 ; +; comb~23 ; 12 ; +; comb~20 ; 12 ; +; comb~19 ; 12 ; +; comb~17 ; 12 ; +; comb~7 ; 12 ; ; riscv_core:core|Equal6~0 ; 12 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2] ; 12 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3]~DUPLICATE ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; 12 ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[26] ; 12 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[1] ; 12 ; +; riscv_core:core|Selector259~13 ; 11 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[0] ; 11 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[132] ; 11 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[132] ; 11 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[165] ; 11 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[198] ; 11 ; -; riscv_core:core|Selector251~5 ; 11 ; -; riscv_core:core|dstvalue[10]~33 ; 11 ; +; riscv_core:core|imm[24]~4 ; 11 ; ; uart_ctrl:uart_ctrl|Equal1~0 ; 11 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~6 ; 11 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3]~0 ; 11 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; 11 ; -; riscv_core:core|imm[1] ; 11 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|tx_wr_strobe ; 11 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_in[0]~1 ; 11 ; +; bReadData[5]~22 ; 11 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~1 ; 11 ; +; comb~29 ; 11 ; +; comb~22 ; 11 ; +; comb~21 ; 11 ; +; comb~18 ; 11 ; +; comb~16 ; 11 ; ; led0~0 ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; 11 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2] ; 11 ; ; uart_ctrl:uart_ctrl|uart_addr[2] ; 11 ; ; uart_ctrl:uart_ctrl|uart_addr[0] ; 11 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3]~DUPLICATE ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0]~DUPLICATE ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 10 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 10 ; ; KEY[3]~_wirecell ; 10 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[297] ; 10 ; -; riscv_core:core|dstvalue[10]~31 ; 10 ; -; riscv_core:core|dstvalue[10]~29 ; 10 ; -; riscv_core:core|dstvalue[10]~26 ; 10 ; -; riscv_core:core|dstvalue[5]~2 ; 10 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~0 ; 10 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_in[0]~1 ; 10 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~1 ; 10 ; -; riscv_core:core|writedata[16]~4 ; 10 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; 10 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2] ; 10 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0] ; 10 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 9 ; +; comb~73 ; 10 ; +; bReadData[4]~27 ; 10 ; +; uart_ctrl:uart_ctrl|ctrlstate.00000000 ; 10 ; +; riscv_core:core|writedata[19]~4 ; 10 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; 9 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|_~7 ; 9 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[132] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[2] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[1] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1|counter_reg_bit[0] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[0] ; 9 ; -; riscv_core:core|ShiftLeft1~14 ; 9 ; -; riscv_core:core|dstvalue[30]~21 ; 9 ; -; riscv_core:core|Selector264~0 ; 9 ; -; riscv_core:core|ShiftRight0~12 ; 9 ; -; riscv_core:core|imm[18]~11 ; 9 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[198] ; 9 ; +; riscv_core:core|Selector272~0 ; 9 ; +; riscv_core:core|dstvalue[4]~5 ; 9 ; +; bReadData[23]~9 ; 9 ; +; riscv_core:core|state.0000 ; 9 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|got_new_char ; 9 ; -; riscv_core:core|writedata[16]~5 ; 9 ; +; riscv_core:core|writedata[19]~5 ; 9 ; +; riscv_core:core|instr[4] ; 9 ; ; riscv_core:core|writeaddr[1] ; 9 ; ; riscv_core:core|writeaddr[0] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; 9 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1|counter_reg_bit[1]~DUPLICATE ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2]~DUPLICATE ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 8 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[4] ; 9 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[0] ; 9 ; ; SW[9]~input ; 8 ; -; riscv_core:core|Selector244~13 ; 8 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[256] ; 8 ; ; uart_ctrl:uart_ctrl|send_buf_data[7]~1 ; 8 ; -; uart_ctrl:uart_ctrl|uart_write_data[13]~1 ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|cout_actual ; 8 ; -; uart_ctrl:uart_ctrl|recv_buf_data[0]~0 ; 8 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[57] ; 8 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[176] ; 8 ; -; riscv_core:core|dstvalue[30]~22 ; 8 ; -; riscv_core:core|dstvalue[30]~11 ; 8 ; -; riscv_core:core|dstvalue[30]~9 ; 8 ; -; ramaddr[10]~10 ; 8 ; -; ramaddr[9]~9 ; 8 ; -; ramaddr[8]~8 ; 8 ; -; ramaddr[7]~7 ; 8 ; -; ramaddr[6]~6 ; 8 ; -; ramaddr[5]~5 ; 8 ; -; ramaddr[4]~4 ; 8 ; -; ramaddr[3]~3 ; 8 ; -; ramaddr[2]~2 ; 8 ; -; ramaddr[1]~1 ; 8 ; -; ramaddr[0]~0 ; 8 ; -; comb~42 ; 8 ; -; riscv_core:core|dstvalue[19]~6 ; 8 ; -; riscv_core:core|always5~0 ; 8 ; +; uart_ctrl:uart_ctrl|uart_write_data[12]~1 ; 8 ; +; uart_ctrl:uart_ctrl|recv_buf_data[6]~0 ; 8 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[198] ; 8 ; +; riscv_core:core|ShiftRight1~22 ; 8 ; +; riscv_core:core|imm[18]~7 ; 8 ; +; bReadData[7]~60 ; 8 ; +; riscv_core:core|Selector275~0 ; 8 ; ; riscv_core:core|imm[11] ; 8 ; -; riscv_core:core|writedata[26]~7 ; 8 ; -; riscv_core:core|writedata[26]~6 ; 8 ; -; riscv_core:core|Equal2~1 ; 8 ; +; riscv_core:core|regena~0 ; 8 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 8 ; +; riscv_core:core|writedata[25]~7 ; 8 ; +; riscv_core:core|writedata[25]~6 ; 8 ; +; riscv_core:core|instr[3] ; 8 ; +; riscv_core:core|Equal2~4 ; 8 ; ; led2~0 ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; 8 ; -; riscv_core:core|writedata[6] ; 8 ; -; riscv_core:core|writedata[5] ; 8 ; -; riscv_core:core|writedata[4] ; 8 ; -; riscv_core:core|writedata[3] ; 8 ; -; riscv_core:core|writedata[2] ; 8 ; -; riscv_core:core|writedata[1] ; 8 ; -; riscv_core:core|writedata[0] ; 8 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg2FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg1FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0~portb_address_reg0FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 7 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[64] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[32] ; 7 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[128] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[160] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[235] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[234] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[263] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[224] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[175] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[264] ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[256] ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|cout_actual ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|cout_actual ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[66] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[29] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[198] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[146] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[323] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[322] ; 7 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[32] ; 7 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[160] ; 7 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[224] ; 7 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[320] ; 7 ; -; riscv_core:core|Selector258~1 ; 7 ; -; riscv_core:core|Selector258~0 ; 7 ; -; bReadData[23]~33 ; 7 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[66] ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[66] ; 7 ; +; riscv_core:core|Selector259~9 ; 7 ; +; riscv_core:core|Selector267~4 ; 7 ; +; riscv_core:core|Selector267~3 ; 7 ; +; riscv_core:core|Selector267~2 ; 7 ; +; riscv_core:core|ShiftRight1~28 ; 7 ; +; bReadData[15]~44 ; 7 ; +; ramaddr[13]~1 ; 7 ; +; ramaddr[14]~0 ; 7 ; +; riscv_core:core|imm[10] ; 7 ; +; riscv_core:core|imm[9] ; 7 ; ; riscv_core:core|imm[31] ; 7 ; ; riscv_core:core|imm[8] ; 7 ; ; riscv_core:core|imm[6] ; 7 ; -; riscv_core:core|state.0000 ; 7 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 7 ; -; riscv_core:core|writedata[14] ; 7 ; -; riscv_core:core|writedata[13] ; 7 ; -; riscv_core:core|writedata[12] ; 7 ; -; riscv_core:core|writedata[11] ; 7 ; -; riscv_core:core|writedata[10] ; 7 ; -; riscv_core:core|writedata[9] ; 7 ; -; riscv_core:core|writedata[8] ; 7 ; -; riscv_core:core|imm[30] ; 7 ; -; riscv_core:core|imm[5]~DUPLICATE ; 6 ; -; riscv_core:core|imm[28]~DUPLICATE ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[205] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[174] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[233] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[262] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[293] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[38] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[59] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[58] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[73] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[117] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[321] ; 6 ; +; riscv_core:core|imm[5] ; 7 ; +; bReadData[3]~29 ; 7 ; +; bReadData[20]~3 ; 7 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[5] ; 7 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|cout_actual ; 6 ; ; riscv_core:core|Selector123~0 ; 6 ; -; riscv_core:core|dstvalue[30]~53 ; 6 ; -; bReadData[7]~34 ; 6 ; -; riscv_core:core|Selector263~11 ; 6 ; -; riscv_core:core|dstvalue[3]~24 ; 6 ; -; riscv_core:core|dstvalue[5]~16 ; 6 ; -; riscv_core:core|dstvalue[10]~15 ; 6 ; -; riscv_core:core|imm[4]~2 ; 6 ; -; riscv_core:core|Selector119~0 ; 6 ; +; riscv_core:core|dstvalue[28]~53 ; 6 ; +; riscv_core:core|dstvalue[4]~29 ; 6 ; +; riscv_core:core|dstvalue[13]~28 ; 6 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[0] ; 6 ; +; bReadData[11]~56 ; 6 ; +; bReadData[25]~50 ; 6 ; +; bReadData[10]~48 ; 6 ; +; riscv_core:core|Selector120~0 ; 6 ; ; riscv_core:core|imm[7] ; 6 ; +; bReadData[24]~11 ; 6 ; +; bReadData[22]~7 ; 6 ; +; bReadData[21]~5 ; 6 ; ; Equal2~0 ; 6 ; -; bReadData[15]~0 ; 6 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4] ; 6 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; 6 ; -; riscv_core:core|writedata[7] ; 6 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|add_sub_31_result_int[32]~1 ; 6 ; -; riscv_core:core|imm[24] ; 6 ; -; riscv_core:core|imm[20] ; 6 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[22] ; 6 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[30] ; 6 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[7] ; 6 ; ; riscv_core:core|imm[18] ; 6 ; ; riscv_core:core|imm[17] ; 6 ; ; riscv_core:core|imm[16] ; 6 ; ; riscv_core:core|imm[15] ; 6 ; +; riscv_core:core|imm[13] ; 6 ; +; riscv_core:core|imm[12] ; 6 ; +; riscv_core:core|imm[20] ; 6 ; +; riscv_core:core|imm[19] ; 6 ; ; riscv_core:core|imm[14] ; 6 ; ; riscv_core:core|imm[27] ; 6 ; ; riscv_core:core|imm[26] ; 6 ; ; riscv_core:core|imm[25] ; 6 ; -; riscv_core:core|imm[13] ; 6 ; -; riscv_core:core|imm[12] ; 6 ; +; riscv_core:core|imm[24] ; 6 ; +; riscv_core:core|imm[23] ; 6 ; +; riscv_core:core|imm[21] ; 6 ; +; riscv_core:core|imm[30] ; 6 ; ; riscv_core:core|imm[29] ; 6 ; +; riscv_core:core|imm[28] ; 6 ; ; riscv_core:core|Add0~5 ; 6 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1]~DUPLICATE ; 5 ; -; riscv_core:core|imm[10]~DUPLICATE ; 5 ; -; riscv_core:core|imm[21]~DUPLICATE ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg3FITTER_CREATED_FF ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg2FITTER_CREATED_FF ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg1FITTER_CREATED_FF ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ram_block6a0~portb_address_reg0FITTER_CREATED_FF ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[96] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[204] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[18] ; 6 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[96] ; 5 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[192] ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[278] ; 5 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[258] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[292] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[288] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[66] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[28] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[230] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|cout_actual ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|cout_actual ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[330] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[329] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[327] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[326] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[325] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[323] ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[322] ; 5 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[99] ; 5 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[99] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[37] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[72] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[86] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[116] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[145] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[177] ; 5 ; -; riscv_core:core|Selector250~8 ; 5 ; -; riscv_core:core|dstvalue[30]~25 ; 5 ; -; riscv_core:core|dstvalue[30]~20 ; 5 ; -; bReadData[10]~21 ; 5 ; -; riscv_core:core|dstvalue[5]~14 ; 5 ; -; riscv_core:core|writedata[15] ; 5 ; -; riscv_core:core|Mux122~0 ; 5 ; -; riscv_core:core|dstreg[4]~2 ; 5 ; -; uart_ctrl:uart_ctrl|Equal0~8 ; 5 ; -; uart_ctrl:uart_ctrl|ctrlstate~15 ; 5 ; -; bReadData[19]~6 ; 5 ; -; bReadData[17]~2 ; 5 ; -; bReadData[16]~1 ; 5 ; -; Equal1~2 ; 5 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[246] ; 5 ; +; riscv_core:core|Mux26~1 ; 5 ; +; riscv_core:core|Selector258~10 ; 5 ; +; riscv_core:core|dstvalue[4]~27 ; 5 ; +; riscv_core:core|dstvalue[3]~18 ; 5 ; +; riscv_core:core|dstvalue[3]~13 ; 5 ; +; bReadData[30]~66 ; 5 ; +; bReadData[29]~64 ; 5 ; +; bReadData[28]~58 ; 5 ; +; bReadData[27]~54 ; 5 ; +; bReadData[26]~52 ; 5 ; +; bReadData[9]~46 ; 5 ; +; bReadData[17]~42 ; 5 ; +; bReadData[18]~40 ; 5 ; +; bReadData[19]~38 ; 5 ; +; bReadData[8]~36 ; 5 ; +; bReadData[16]~34 ; 5 ; +; riscv_core:core|dstreg[1]~1 ; 5 ; +; lastregno[1] ; 5 ; +; lastregno[0] ; 5 ; +; lastregno[2] ; 5 ; +; lastregno[3] ; 5 ; +; lastregno[4] ; 5 ; +; bReadData[14]~20 ; 5 ; +; bReadData[15]~19 ; 5 ; +; bReadData[14]~18 ; 5 ; +; bReadData[15]~16 ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4] ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[8] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[9] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[0] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[1] ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5] ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[0] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[1] ; 5 ; @@ -3196,84 +3315,86 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[9] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5] ; 5 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6] ; 5 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7] ; 5 ; -; riscv_core:core|writedata[30] ; 5 ; -; riscv_core:core|writedata[29] ; 5 ; -; riscv_core:core|writedata[28] ; 5 ; -; riscv_core:core|writedata[27] ; 5 ; -; riscv_core:core|writedata[26] ; 5 ; -; riscv_core:core|writedata[25] ; 5 ; -; riscv_core:core|writedata[24] ; 5 ; ; riscv_core:core|writeaddr[4] ; 5 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[15] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[23] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[24] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[25] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[27] ; 5 ; ; riscv_core:core|imm[22] ; 5 ; ; riscv_core:core|pc[3] ; 5 ; -; riscv_core:core|writedata[22] ; 5 ; -; riscv_core:core|writedata[21] ; 5 ; -; riscv_core:core|writedata[20] ; 5 ; -; riscv_core:core|writedata[19] ; 5 ; -; riscv_core:core|writedata[18] ; 5 ; -; riscv_core:core|writedata[17] ; 5 ; -; riscv_core:core|writedata[16] ; 5 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[4]~DUPLICATE ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1]~DUPLICATE ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0]~DUPLICATE ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2]~DUPLICATE ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0]~DUPLICATE ; 4 ; -; riscv_core:core|imm[19]~DUPLICATE ; 4 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[6] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[8] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[9] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[10] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[11] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[12] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[13] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[14] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[16] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[17] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[19] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[20] ; 5 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[21] ; 5 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288]~DUPLICATE ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2]~DUPLICATE ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1]~DUPLICATE ; 4 ; ; ~GND ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[65] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[65] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[65] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[66] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[66] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[135] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[135] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[134] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[134] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[133] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[133] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[100] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[132] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[132] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[131] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[131] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[130] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[130] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[129] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[129] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[135] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[67] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[67] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[136] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[136] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[171] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[171] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[170] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[170] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[169] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[169] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[136] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[167] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[168] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[168] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[167] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[134] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[166] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[166] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[133] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[165] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[165] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[164] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[164] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[131] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[163] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[163] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[130] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[162] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[162] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[129] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[161] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[161] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[167] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[33] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[33] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[33] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[68] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[68] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[137] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[137] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[172] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[172] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[172] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[171] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[170] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[169] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[168] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[166] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[165] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[164] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[163] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[162] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[161] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[34] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[194] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[34] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[34] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[69] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[69] ; 4 ; @@ -3281,7 +3402,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[138] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[241] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[241] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[173] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[173] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[239] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[240] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[240] ; 4 ; @@ -3294,30 +3415,36 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[236] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[236] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[242] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[235] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[235] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[242] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[234] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[234] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[233] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[233] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[231] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[232] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[232] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[231] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[230] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[230] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[229] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[229] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[228] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[228] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[227] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[227] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[226] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[225] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[225] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[231] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[35] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[35] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[35] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[70] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[279] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[279] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[70] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[278] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[277] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[277] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[276] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[276] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[275] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[275] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[139] ; 4 ; @@ -3325,6 +3452,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[274] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[273] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[273] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[174] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[271] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[272] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[272] ; 4 ; @@ -3344,38 +3472,36 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[266] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[265] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[265] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[232] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[278] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[263] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[264] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[264] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[263] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[230] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[262] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[229] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[262] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[261] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[261] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[228] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[260] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[260] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[227] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[259] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[259] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[226] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[225] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[258] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[258] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[257] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[257] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[36] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[36] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[36] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[71] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[71] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[140] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[140] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[175] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[175] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[244] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[244] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[280] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[280] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[261] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[260] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[259] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[258] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[257] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1|cout_actual ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[33]~44 ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[279] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[279] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|cout_actual ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|selnose[33]~47 ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[348] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[348] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[347] ; 4 ; @@ -3413,29 +3539,24 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[331] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[331] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[330] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[330] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[329] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[329] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[327] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[328] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[328] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[327] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[326] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[326] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[325] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[325] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[324] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[324] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[323] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[322] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[323] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[322] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[321] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[321] ; 4 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[6] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[7] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[1] ; 4 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[3] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[4] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[29] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[0] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[1] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[1] ; 4 ; @@ -3443,73 +3564,48 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[29] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[0] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[1] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[99] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[37] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[37] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[38] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[42] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[73] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[72] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[73] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[72] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[107] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[141] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[142] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[177] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[176] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[177] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[176] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[561]~7 ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[245] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[246] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[246] ; 4 ; -; riscv_core:core|writedata[31] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[280] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[280] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[349] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[349] ; 4 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[350] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[350] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[351] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[1] ; 4 ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer|dreg[0] ; 4 ; -; riscv_core:core|Mux159~0 ; 4 ; -; bReadData[31]~32 ; 4 ; -; bReadData[22]~31 ; 4 ; -; riscv_core:core|ShiftRight0~57 ; 4 ; -; bReadData[21]~30 ; 4 ; -; bReadData[29]~29 ; 4 ; -; bReadData[20]~27 ; 4 ; -; bReadData[28]~26 ; 4 ; -; riscv_core:core|dstvalue[10]~35 ; 4 ; -; riscv_core:core|dstvalue[10]~30 ; 4 ; -; riscv_core:core|ShiftRight1~31 ; 4 ; -; bReadData[27]~24 ; 4 ; -; riscv_core:core|ShiftRight1~27 ; 4 ; -; riscv_core:core|ShiftRight1~20 ; 4 ; -; riscv_core:core|ShiftRight0~34 ; 4 ; -; riscv_core:core|dstvalue[30]~18 ; 4 ; -; riscv_core:core|Selector265~2 ; 4 ; -; bReadData[26]~22 ; 4 ; -; riscv_core:core|dstvalue[19]~13 ; 4 ; -; bReadData[9]~20 ; 4 ; -; bReadData[25]~19 ; 4 ; -; riscv_core:core|ShiftLeft0~8 ; 4 ; -; riscv_core:core|Selector267~5 ; 4 ; -; bReadData[8]~17 ; 4 ; -; bReadData[24]~16 ; 4 ; -; riscv_core:core|ShiftRight1~5 ; 4 ; -; riscv_core:core|instr[7] ; 4 ; -; riscv_core:core|Equal10~1 ; 4 ; +; riscv_core:core|Selector259~11 ; 4 ; +; riscv_core:core|ShiftRight1~41 ; 4 ; +; riscv_core:core|ShiftRight1~38 ; 4 ; +; riscv_core:core|Selector273~13 ; 4 ; +; riscv_core:core|dstvalue[13]~14 ; 4 ; +; riscv_core:core|ShiftRight0~30 ; 4 ; +; riscv_core:core|ShiftRight0~27 ; 4 ; +; riscv_core:core|ShiftRight1~4 ; 4 ; +; riscv_core:core|ShiftLeft1~0 ; 4 ; +; riscv_core:core|ShiftLeft0~2 ; 4 ; +; comb~74 ; 4 ; ; riscv_core:core|divclk[0] ; 4 ; -; riscv_core:core|divclk[4] ; 4 ; -; riscv_core:core|imm[1]~0 ; 4 ; -; riscv_core:core|imm[9] ; 4 ; -; riscv_core:core|regena~0 ; 4 ; -; bReadData[18]~5 ; 4 ; -; Equal1~3 ; 4 ; -; Equal0~1 ; 4 ; -; uart_ctrl:uart_ctrl|ctrlstate.011 ; 4 ; +; bReadData[13]~15 ; 4 ; +; bReadData[12]~13 ; 4 ; +; riscv_core:core|Selector186~1 ; 4 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[2] ; 4 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[3] ; 4 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[5] ; 4 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[6] ; 4 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; 4 ; ; riscv_core:core|Equal1~0 ; 4 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[2] ; 4 ; ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[3] ; 4 ; @@ -3517,9 +3613,11 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|writeaddr[2] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[1] ; 4 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[2] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[2] ; 4 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3] ; 4 ; -; riscv_core:core|writedata[23] ; 4 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; 4 ; +; uart_ctrl:uart_ctrl|uart_write_data[2] ; 4 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[26] ; 4 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[28] ; 4 ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[29] ; 4 ; ; riscv_core:core|Add0~125 ; 4 ; ; riscv_core:core|Add0~121 ; 4 ; ; riscv_core:core|Add0~117 ; 4 ; @@ -3551,217 +3649,160 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; riscv_core:core|Add0~13 ; 4 ; ; riscv_core:core|Add0~9 ; 4 ; ; riscv_core:core|Add0~1 ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87]~DUPLICATE ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88]~DUPLICATE ; 3 ; -; riscv_core:core|imm[9]~DUPLICATE ; 3 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[8]~DUPLICATE ; 3 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff~DUPLICATE ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3]~DUPLICATE ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1]~DUPLICATE ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0]~DUPLICATE ; 3 ; -; riscv_core:core|imm[23]~DUPLICATE ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a2 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ram_block5a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ram_block5a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a2 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ram_block6a0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a1 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ram_block6a0 ; 3 ; -; KEY[1]~input ; 3 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[5]~DUPLICATE ; 3 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|baud_divisor[2]~DUPLICATE ; 3 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0]~DUPLICATE ; 3 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_847:usedw_counter|counter_reg_bit[7]~DUPLICATE ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a7 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a5 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ram_block5a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a7 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a7 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ram_block6a0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a7 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a1 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a6 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a0 ; 3 ; +; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll~PLL_RECONFIG_O_SHIFT ; 3 ; ; KEY[2]~input ; 3 ; ; SW[8]~input ; 3 ; ; led2~0_wirecell ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[87] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[88] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[64] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[100] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[100] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[99] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[99] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[98] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[98] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[97] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[97] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[99] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[58] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[58] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[65] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[96] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[101] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[101] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[100] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[101] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[99] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[98] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[97] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[131] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[134] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[59] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[59] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[132] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[66] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[130] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[102] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[129] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[102] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[102] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[101] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[128] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[135] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[166] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[170] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[169] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[32] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[168] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[67] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[165] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[103] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[164] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[103] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[103] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[102] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[163] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[162] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[161] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[136] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[160] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[171] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[206] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[206] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[207] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[205] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[205] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[207] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[204] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[204] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[203] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[203] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[202] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[202] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[201] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[201] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[199] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[200] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[200] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[199] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[198] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[198] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[197] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[197] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[196] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[196] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[195] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[195] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[194] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[193] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[193] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[199] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[33] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[68] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[104] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[104] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[104] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[103] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[137] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[172] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[208] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[208] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[203] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[202] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[201] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[200] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[198] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[197] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[196] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[195] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[194] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[193] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[34] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[69] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[105] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[226] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[105] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[105] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[104] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[138] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[173] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[209] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[209] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cmpr4_aeb_int~0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|op_2~0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[278] ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[262] ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cmpr4_aeb_int~0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|op_2~0 ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[314] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[314] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[35] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[313] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[313] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[311] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[312] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[312] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[311] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[70] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[310] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[106] ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[310] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[106] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[309] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[309] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[106] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[105] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[308] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[308] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[307] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[307] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[306] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[306] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[139] ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[305] ; 3 ; ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[305] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cmpr4_aeb_int~0 ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|op_2~0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cmpr4_aeb_int~0 ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|op_2~0 ; 3 ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[303] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[304] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[304] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[303] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[174] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[302] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[302] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[210] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[301] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[301] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[210] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[300] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[300] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[299] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[299] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[298] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[298] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[297] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[297] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[281] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[295] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[296] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[296] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[295] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[281] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[294] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[294] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[293] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[292] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[285] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[291] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[285] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[289] ; 3 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|rd_ptr_lsb ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|selnose[33]~13 ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[315] ; 3 ; +-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Fitter RAM Summary ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ -; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M10K blocks ; MLAB cells ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ -; ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 2048 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 65536 ; 2048 ; 32 ; -- ; -- ; 65536 ; 8 ; 0 ; ../test_code/test.mif ; M10K_X26_Y23_N0, M10K_X38_Y24_N0, M10K_X38_Y25_N0, M10K_X38_Y27_N0, M10K_X38_Y26_N0, M10K_X26_Y24_N0, M10K_X26_Y27_N0, M10K_X38_Y23_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 1024 ; 32 ; 32 ; -- ; -- ; 1024 ; 1 ; 0 ; None ; M10K_X38_Y28_N0 ; Don't care ; New data ; New data ; No - Single Port Feed Through New Data with Unregistered Data Out ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 10 ; 5 ; 10 ; 5 ; yes ; no ; no ; yes ; 50 ; 10 ; 5 ; 10 ; 5 ; 50 ; 0 ; 5 ; None ; LAB_X34_Y31_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 11 ; 7 ; 11 ; 7 ; yes ; no ; no ; yes ; 77 ; 11 ; 7 ; 11 ; 7 ; 77 ; 0 ; 7 ; None ; LAB_X25_Y34_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 10 ; 8 ; 10 ; 8 ; yes ; no ; no ; yes ; 80 ; 10 ; 8 ; 10 ; 8 ; 80 ; 0 ; 8 ; None ; LAB_X47_Y31_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 9 ; 9 ; 9 ; 9 ; yes ; no ; no ; yes ; 81 ; 9 ; 9 ; 9 ; 9 ; 81 ; 0 ; 9 ; None ; LAB_X39_Y27_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 8 ; 7 ; 8 ; 7 ; yes ; no ; no ; yes ; 56 ; 8 ; 7 ; 8 ; 7 ; 56 ; 0 ; 7 ; None ; LAB_X39_Y31_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 7 ; 8 ; 7 ; 8 ; yes ; no ; no ; yes ; 56 ; 7 ; 8 ; 7 ; 8 ; 56 ; 0 ; 8 ; None ; LAB_X39_Y23_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 6 ; 9 ; 6 ; 9 ; yes ; no ; no ; yes ; 54 ; 6 ; 9 ; 6 ; 9 ; 54 ; 0 ; 9 ; None ; LAB_X47_Y24_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 5 ; 7 ; 5 ; 7 ; yes ; no ; no ; yes ; 35 ; 5 ; 7 ; 5 ; 7 ; 35 ; 0 ; 7 ; None ; LAB_X47_Y27_N0 ; ; ; ; ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 4 ; 8 ; 4 ; 8 ; yes ; no ; no ; yes ; 32 ; 4 ; 8 ; 4 ; 8 ; 32 ; 0 ; 8 ; None ; LAB_X47_Y32_N0 ; ; ; ; ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 1024 ; 8 ; yes ; no ; yes ; no ; 8192 ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; 1 ; 0 ; None ; M10K_X26_Y21_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 1024 ; 8 ; yes ; no ; yes ; no ; 8192 ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; 1 ; 0 ; None ; M10K_X26_Y22_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+-------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+----------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Fitter RAM Summary ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+---------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ +; Name ; Type ; Mode ; Clock Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Port A Input Registers ; Port A Output Registers ; Port B Input Registers ; Port B Output Registers ; Size ; Implementation Port A Depth ; Implementation Port A Width ; Implementation Port B Depth ; Implementation Port B Width ; Implementation Bits ; M10K blocks ; MLAB cells ; MIF ; Location ; Mixed Width RDW Mode ; Port A RDW Mode ; Port B RDW Mode ; Fits in MLABs ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+---------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32768 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 1048576 ; 32768 ; 32 ; -- ; -- ; 1048576 ; 128 ; 0 ; ../test_code/test.mif ; M10K_X41_Y27_N0, M10K_X14_Y11_N0, M10K_X38_Y9_N0, M10K_X38_Y30_N0, M10K_X26_Y21_N0, M10K_X49_Y26_N0, M10K_X38_Y24_N0, M10K_X69_Y20_N0, M10K_X26_Y30_N0, M10K_X26_Y18_N0, M10K_X38_Y19_N0, M10K_X38_Y22_N0, M10K_X26_Y11_N0, M10K_X26_Y23_N0, M10K_X58_Y30_N0, M10K_X26_Y24_N0, M10K_X14_Y29_N0, M10K_X49_Y34_N0, M10K_X38_Y33_N0, M10K_X38_Y29_N0, M10K_X41_Y25_N0, M10K_X69_Y28_N0, M10K_X41_Y31_N0, M10K_X41_Y29_N0, M10K_X26_Y12_N0, M10K_X41_Y28_N0, M10K_X49_Y20_N0, M10K_X49_Y24_N0, M10K_X26_Y35_N0, M10K_X49_Y36_N0, M10K_X41_Y35_N0, M10K_X26_Y26_N0, M10K_X38_Y32_N0, M10K_X49_Y14_N0, M10K_X14_Y20_N0, M10K_X38_Y14_N0, M10K_X58_Y26_N0, M10K_X38_Y28_N0, M10K_X38_Y31_N0, M10K_X38_Y25_N0, M10K_X41_Y22_N0, M10K_X38_Y17_N0, M10K_X49_Y28_N0, M10K_X41_Y20_N0, M10K_X41_Y11_N0, M10K_X14_Y18_N0, M10K_X58_Y27_N0, M10K_X38_Y23_N0, M10K_X26_Y16_N0, M10K_X58_Y13_N0, M10K_X14_Y23_N0, M10K_X14_Y17_N0, M10K_X69_Y23_N0, M10K_X38_Y39_N0, M10K_X38_Y21_N0, M10K_X26_Y39_N0, M10K_X26_Y15_N0, M10K_X14_Y26_N0, M10K_X26_Y22_N0, M10K_X58_Y16_N0, M10K_X38_Y11_N0, M10K_X41_Y30_N0, M10K_X38_Y12_N0, M10K_X58_Y18_N0, M10K_X49_Y21_N0, M10K_X14_Y28_N0, M10K_X26_Y19_N0, M10K_X38_Y20_N0, M10K_X49_Y13_N0, M10K_X38_Y10_N0, M10K_X49_Y31_N0, M10K_X14_Y19_N0, M10K_X26_Y36_N0, M10K_X26_Y37_N0, M10K_X49_Y19_N0, M10K_X26_Y34_N0, M10K_X38_Y18_N0, M10K_X49_Y15_N0, M10K_X49_Y23_N0, M10K_X41_Y13_N0, M10K_X49_Y33_N0, M10K_X49_Y35_N0, M10K_X49_Y32_N0, M10K_X41_Y21_N0, M10K_X14_Y25_N0, M10K_X58_Y25_N0, M10K_X26_Y14_N0, M10K_X38_Y27_N0, M10K_X49_Y17_N0, M10K_X58_Y17_N0, M10K_X58_Y21_N0, M10K_X38_Y16_N0, M10K_X26_Y25_N0, M10K_X49_Y18_N0, M10K_X49_Y29_N0, M10K_X26_Y32_N0, M10K_X26_Y17_N0, M10K_X58_Y28_N0, M10K_X41_Y26_N0, M10K_X49_Y30_N0, M10K_X58_Y14_N0, M10K_X49_Y25_N0, M10K_X14_Y13_N0, M10K_X41_Y12_N0, M10K_X41_Y18_N0, M10K_X41_Y17_N0, M10K_X41_Y16_N0, M10K_X41_Y19_N0, M10K_X49_Y27_N0, M10K_X41_Y9_N0, M10K_X41_Y15_N0, M10K_X41_Y24_N0, M10K_X26_Y31_N0, M10K_X49_Y22_N0, M10K_X14_Y24_N0, M10K_X14_Y22_N0, M10K_X69_Y17_N0, M10K_X58_Y15_N0, M10K_X58_Y23_N0, M10K_X69_Y24_N0, M10K_X41_Y14_N0, M10K_X26_Y33_N0, M10K_X26_Y9_N0, M10K_X38_Y13_N0, M10K_X26_Y27_N0, M10K_X38_Y15_N0, M10K_X26_Y20_N0, M10K_X49_Y16_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 1024 ; 32 ; 32 ; -- ; -- ; 1024 ; 1 ; 0 ; None ; M10K_X26_Y29_N0 ; Don't care ; New data ; New data ; No - Single Port Feed Through New Data with Unregistered Data Out ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; Single Clock ; 32 ; 32 ; -- ; -- ; yes ; no ; -- ; -- ; 1024 ; 32 ; 32 ; -- ; -- ; 1024 ; 1 ; 0 ; None ; M10K_X26_Y28_N0 ; Don't care ; New data ; New data ; No - Single Port Feed Through New Data with Unregistered Data Out ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 11 ; 10 ; 11 ; 10 ; yes ; no ; no ; yes ; 110 ; 11 ; 10 ; 11 ; 10 ; 110 ; 0 ; 10 ; None ; LAB_X47_Y29_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 10 ; 10 ; 10 ; 10 ; yes ; no ; no ; yes ; 100 ; 10 ; 10 ; 10 ; 10 ; 100 ; 0 ; 10 ; None ; LAB_X34_Y24_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 9 ; 12 ; 9 ; 12 ; yes ; no ; no ; yes ; 108 ; 9 ; 12 ; 9 ; 12 ; 108 ; 0 ; 12 ; None ; LAB_X34_Y28_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 8 ; 10 ; 8 ; 10 ; yes ; no ; no ; yes ; 80 ; 8 ; 10 ; 8 ; 10 ; 80 ; 0 ; 10 ; None ; LAB_X28_Y32_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 7 ; 10 ; 7 ; 10 ; yes ; no ; no ; yes ; 70 ; 7 ; 10 ; 7 ; 10 ; 70 ; 0 ; 10 ; None ; LAB_X34_Y34_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 6 ; 12 ; 6 ; 12 ; yes ; no ; no ; yes ; 72 ; 6 ; 12 ; 6 ; 12 ; 72 ; 0 ; 12 ; None ; LAB_X28_Y33_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 5 ; 10 ; 5 ; 10 ; yes ; no ; no ; yes ; 50 ; 5 ; 10 ; 5 ; 10 ; 50 ; 0 ; 10 ; None ; LAB_X34_Y33_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 4 ; 10 ; 4 ; 10 ; yes ; no ; no ; yes ; 40 ; 4 ; 10 ; 4 ; 10 ; 40 ; 0 ; 10 ; None ; LAB_X39_Y33_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 3 ; 12 ; 3 ; 12 ; yes ; no ; no ; yes ; 36 ; 3 ; 12 ; 3 ; 12 ; 36 ; 0 ; 12 ; None ; LAB_X47_Y28_N0 ; ; ; ; ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ALTSYNCRAM ; MLAB ; Simple Dual Port ; Dual Clocks ; 10 ; 7 ; 10 ; 7 ; yes ; no ; no ; yes ; 70 ; 10 ; 7 ; 10 ; 7 ; 70 ; 0 ; 7 ; None ; LAB_X52_Y26_N0 ; ; ; ; ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 1024 ; 8 ; yes ; no ; yes ; no ; 8192 ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; 1 ; 0 ; None ; M10K_X38_Y26_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; Dual Clocks ; 1024 ; 8 ; 1024 ; 8 ; yes ; no ; yes ; no ; 8192 ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; 1 ; 0 ; None ; M10K_X41_Y23_N0 ; Don't care ; New data ; New data ; No - Address Too Wide ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+--------------+------------------------+-------------------------+------------------------+-------------------------+---------+-----------------------------+-----------------------------+-----------------------------+-----------------------------+---------------------+-------------+------------+-----------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------+-----------------+-----------------+-------------------------------------------------------------------+ Note: Fitter may spread logical memories into multiple blocks to improve timing. The actual required RAM blocks can be found in the Fitter Resource Usage section. @@ -3783,83 +3824,83 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +------------------------------+-------------+---------------------+-------------------+ -+-----------------------------------------------------------------------+ -; Routing Usage Summary ; -+---------------------------------------------+-------------------------+ -; Routing Resource Type ; Usage ; -+---------------------------------------------+-------------------------+ -; Block interconnects ; 9,047 / 289,320 ( 3 % ) ; -; C12 interconnects ; 208 / 13,420 ( 2 % ) ; -; C2 interconnects ; 3,975 / 119,108 ( 3 % ) ; -; C4 interconnects ; 1,974 / 56,300 ( 4 % ) ; -; DQS bus muxes ; 0 / 25 ( 0 % ) ; -; DQS-18 I/O buses ; 0 / 25 ( 0 % ) ; -; DQS-9 I/O buses ; 0 / 25 ( 0 % ) ; -; Direct links ; 803 / 289,320 ( < 1 % ) ; -; Global clocks ; 1 / 16 ( 6 % ) ; -; HPS SDRAM PLL inputs ; 0 / 1 ( 0 % ) ; -; HPS SDRAM PLL outputs ; 0 / 1 ( 0 % ) ; -; HPS_INTERFACE_BOOT_FROM_FPGA_INPUTs ; 0 / 9 ( 0 % ) ; -; HPS_INTERFACE_CLOCKS_RESETS_INPUTs ; 0 / 7 ( 0 % ) ; -; HPS_INTERFACE_CLOCKS_RESETS_OUTPUTs ; 0 / 6 ( 0 % ) ; -; HPS_INTERFACE_CROSS_TRIGGER_INPUTs ; 0 / 18 ( 0 % ) ; -; HPS_INTERFACE_CROSS_TRIGGER_OUTPUTs ; 0 / 24 ( 0 % ) ; -; HPS_INTERFACE_DBG_APB_INPUTs ; 0 / 37 ( 0 % ) ; -; HPS_INTERFACE_DBG_APB_OUTPUTs ; 0 / 55 ( 0 % ) ; -; HPS_INTERFACE_DMA_INPUTs ; 0 / 16 ( 0 % ) ; -; HPS_INTERFACE_DMA_OUTPUTs ; 0 / 8 ( 0 % ) ; -; HPS_INTERFACE_FPGA2HPS_INPUTs ; 0 / 287 ( 0 % ) ; -; HPS_INTERFACE_FPGA2HPS_OUTPUTs ; 0 / 154 ( 0 % ) ; -; HPS_INTERFACE_FPGA2SDRAM_INPUTs ; 0 / 852 ( 0 % ) ; -; HPS_INTERFACE_FPGA2SDRAM_OUTPUTs ; 0 / 408 ( 0 % ) ; -; HPS_INTERFACE_HPS2FPGA_INPUTs ; 0 / 165 ( 0 % ) ; -; HPS_INTERFACE_HPS2FPGA_LIGHT_WEIGHT_INPUTs ; 0 / 67 ( 0 % ) ; -; HPS_INTERFACE_HPS2FPGA_LIGHT_WEIGHT_OUTPUTs ; 0 / 156 ( 0 % ) ; -; HPS_INTERFACE_HPS2FPGA_OUTPUTs ; 0 / 282 ( 0 % ) ; -; HPS_INTERFACE_INTERRUPTS_INPUTs ; 0 / 64 ( 0 % ) ; -; HPS_INTERFACE_INTERRUPTS_OUTPUTs ; 0 / 42 ( 0 % ) ; -; HPS_INTERFACE_JTAG_OUTPUTs ; 0 / 5 ( 0 % ) ; -; HPS_INTERFACE_LOAN_IO_INPUTs ; 0 / 142 ( 0 % ) ; -; HPS_INTERFACE_LOAN_IO_OUTPUTs ; 0 / 85 ( 0 % ) ; -; HPS_INTERFACE_MPU_EVENT_STANDBY_INPUTs ; 0 / 1 ( 0 % ) ; -; HPS_INTERFACE_MPU_EVENT_STANDBY_OUTPUTs ; 0 / 5 ( 0 % ) ; -; HPS_INTERFACE_MPU_GENERAL_PURPOSE_INPUTs ; 0 / 32 ( 0 % ) ; -; HPS_INTERFACE_MPU_GENERAL_PURPOSE_OUTPUTs ; 0 / 32 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_CAN_INPUTs ; 0 / 2 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_CAN_OUTPUTs ; 0 / 2 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_EMAC_INPUTs ; 0 / 32 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_EMAC_OUTPUTs ; 0 / 34 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_I2C_INPUTs ; 0 / 8 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_I2C_OUTPUTs ; 0 / 8 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_NAND_INPUTs ; 0 / 12 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_NAND_OUTPUTs ; 0 / 18 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_QSPI_INPUTs ; 0 / 4 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_QSPI_OUTPUTs ; 0 / 13 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SDMMC_INPUTs ; 0 / 13 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SDMMC_OUTPUTs ; 0 / 22 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SPI_MASTER_INPUTs ; 0 / 4 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SPI_MASTER_OUTPUTs ; 0 / 14 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SPI_SLAVE_INPUTs ; 0 / 6 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_SPI_SLAVE_OUTPUTs ; 0 / 4 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_UART_INPUTs ; 0 / 10 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_UART_OUTPUTs ; 0 / 10 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_USB_INPUTs ; 0 / 22 ( 0 % ) ; -; HPS_INTERFACE_PERIPHERAL_USB_OUTPUTs ; 0 / 34 ( 0 % ) ; -; HPS_INTERFACE_STM_EVENT_INPUTs ; 0 / 28 ( 0 % ) ; -; HPS_INTERFACE_TEST_INPUTs ; 0 / 610 ( 0 % ) ; -; HPS_INTERFACE_TEST_OUTPUTs ; 0 / 513 ( 0 % ) ; -; HPS_INTERFACE_TPIU_TRACE_INPUTs ; 0 / 2 ( 0 % ) ; -; HPS_INTERFACE_TPIU_TRACE_OUTPUTs ; 0 / 33 ( 0 % ) ; -; Horizontal periphery clocks ; 0 / 72 ( 0 % ) ; -; Local interconnects ; 1,651 / 84,580 ( 2 % ) ; -; Quadrant clocks ; 0 / 66 ( 0 % ) ; -; R14 interconnects ; 355 / 12,676 ( 3 % ) ; -; R14/C12 interconnect drivers ; 528 / 20,720 ( 3 % ) ; -; R3 interconnects ; 4,447 / 130,992 ( 3 % ) ; -; R6 interconnects ; 6,379 / 266,960 ( 2 % ) ; -; Spine clocks ; 5 / 360 ( 1 % ) ; -; Wire stub REs ; 0 / 15,858 ( 0 % ) ; -+---------------------------------------------+-------------------------+ ++------------------------------------------------------------------------+ +; Routing Usage Summary ; ++---------------------------------------------+--------------------------+ +; Routing Resource Type ; Usage ; ++---------------------------------------------+--------------------------+ +; Block interconnects ; 11,670 / 289,320 ( 4 % ) ; +; C12 interconnects ; 411 / 13,420 ( 3 % ) ; +; C2 interconnects ; 5,242 / 119,108 ( 4 % ) ; +; C4 interconnects ; 2,779 / 56,300 ( 5 % ) ; +; DQS bus muxes ; 0 / 25 ( 0 % ) ; +; DQS-18 I/O buses ; 0 / 25 ( 0 % ) ; +; DQS-9 I/O buses ; 0 / 25 ( 0 % ) ; +; Direct links ; 637 / 289,320 ( < 1 % ) ; +; Global clocks ; 1 / 16 ( 6 % ) ; +; HPS SDRAM PLL inputs ; 0 / 1 ( 0 % ) ; +; HPS SDRAM PLL outputs ; 0 / 1 ( 0 % ) ; +; HPS_INTERFACE_BOOT_FROM_FPGA_INPUTs ; 0 / 9 ( 0 % ) ; +; HPS_INTERFACE_CLOCKS_RESETS_INPUTs ; 0 / 7 ( 0 % ) ; +; HPS_INTERFACE_CLOCKS_RESETS_OUTPUTs ; 0 / 6 ( 0 % ) ; +; HPS_INTERFACE_CROSS_TRIGGER_INPUTs ; 0 / 18 ( 0 % ) ; +; HPS_INTERFACE_CROSS_TRIGGER_OUTPUTs ; 0 / 24 ( 0 % ) ; +; HPS_INTERFACE_DBG_APB_INPUTs ; 0 / 37 ( 0 % ) ; +; HPS_INTERFACE_DBG_APB_OUTPUTs ; 0 / 55 ( 0 % ) ; +; HPS_INTERFACE_DMA_INPUTs ; 0 / 16 ( 0 % ) ; +; HPS_INTERFACE_DMA_OUTPUTs ; 0 / 8 ( 0 % ) ; +; HPS_INTERFACE_FPGA2HPS_INPUTs ; 0 / 287 ( 0 % ) ; +; HPS_INTERFACE_FPGA2HPS_OUTPUTs ; 0 / 154 ( 0 % ) ; +; HPS_INTERFACE_FPGA2SDRAM_INPUTs ; 0 / 852 ( 0 % ) ; +; HPS_INTERFACE_FPGA2SDRAM_OUTPUTs ; 0 / 408 ( 0 % ) ; +; HPS_INTERFACE_HPS2FPGA_INPUTs ; 0 / 165 ( 0 % ) ; +; HPS_INTERFACE_HPS2FPGA_LIGHT_WEIGHT_INPUTs ; 0 / 67 ( 0 % ) ; +; HPS_INTERFACE_HPS2FPGA_LIGHT_WEIGHT_OUTPUTs ; 0 / 156 ( 0 % ) ; +; HPS_INTERFACE_HPS2FPGA_OUTPUTs ; 0 / 282 ( 0 % ) ; +; HPS_INTERFACE_INTERRUPTS_INPUTs ; 0 / 64 ( 0 % ) ; +; HPS_INTERFACE_INTERRUPTS_OUTPUTs ; 0 / 42 ( 0 % ) ; +; HPS_INTERFACE_JTAG_OUTPUTs ; 0 / 5 ( 0 % ) ; +; HPS_INTERFACE_LOAN_IO_INPUTs ; 0 / 142 ( 0 % ) ; +; HPS_INTERFACE_LOAN_IO_OUTPUTs ; 0 / 85 ( 0 % ) ; +; HPS_INTERFACE_MPU_EVENT_STANDBY_INPUTs ; 0 / 1 ( 0 % ) ; +; HPS_INTERFACE_MPU_EVENT_STANDBY_OUTPUTs ; 0 / 5 ( 0 % ) ; +; HPS_INTERFACE_MPU_GENERAL_PURPOSE_INPUTs ; 0 / 32 ( 0 % ) ; +; HPS_INTERFACE_MPU_GENERAL_PURPOSE_OUTPUTs ; 0 / 32 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_CAN_INPUTs ; 0 / 2 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_CAN_OUTPUTs ; 0 / 2 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_EMAC_INPUTs ; 0 / 32 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_EMAC_OUTPUTs ; 0 / 34 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_I2C_INPUTs ; 0 / 8 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_I2C_OUTPUTs ; 0 / 8 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_NAND_INPUTs ; 0 / 12 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_NAND_OUTPUTs ; 0 / 18 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_QSPI_INPUTs ; 0 / 4 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_QSPI_OUTPUTs ; 0 / 13 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SDMMC_INPUTs ; 0 / 13 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SDMMC_OUTPUTs ; 0 / 22 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SPI_MASTER_INPUTs ; 0 / 4 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SPI_MASTER_OUTPUTs ; 0 / 14 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SPI_SLAVE_INPUTs ; 0 / 6 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_SPI_SLAVE_OUTPUTs ; 0 / 4 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_UART_INPUTs ; 0 / 10 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_UART_OUTPUTs ; 0 / 10 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_USB_INPUTs ; 0 / 22 ( 0 % ) ; +; HPS_INTERFACE_PERIPHERAL_USB_OUTPUTs ; 0 / 34 ( 0 % ) ; +; HPS_INTERFACE_STM_EVENT_INPUTs ; 0 / 28 ( 0 % ) ; +; HPS_INTERFACE_TEST_INPUTs ; 0 / 610 ( 0 % ) ; +; HPS_INTERFACE_TEST_OUTPUTs ; 0 / 513 ( 0 % ) ; +; HPS_INTERFACE_TPIU_TRACE_INPUTs ; 0 / 2 ( 0 % ) ; +; HPS_INTERFACE_TPIU_TRACE_OUTPUTs ; 0 / 33 ( 0 % ) ; +; Horizontal periphery clocks ; 0 / 72 ( 0 % ) ; +; Local interconnects ; 1,792 / 84,580 ( 2 % ) ; +; Quadrant clocks ; 0 / 66 ( 0 % ) ; +; R14 interconnects ; 683 / 12,676 ( 5 % ) ; +; R14/C12 interconnect drivers ; 884 / 20,720 ( 4 % ) ; +; R3 interconnects ; 5,950 / 130,992 ( 5 % ) ; +; R6 interconnects ; 9,010 / 266,960 ( 3 % ) ; +; Spine clocks ; 9 / 360 ( 3 % ) ; +; Wire stub REs ; 0 / 15,858 ( 0 % ) ; ++---------------------------------------------+--------------------------+ +------------------------------------------+ @@ -4109,21 +4150,21 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. ; GPIO[35] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; GPIO[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; GPIO[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; -; CLOCK_50 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; KEY[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[8] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[9] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +; CLOCK_50 ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; KEY[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +; SW[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[2] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +; SW[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[3] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[6] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; -; SW[4] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; -; SW[5] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; -; SW[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; KEY[0] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; KEY[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; ; SW[7] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +; SW[1] ; Pass ; Inapplicable ; Pass ; Inapplicable ; Inapplicable ; Pass ; Pass ; Inapplicable ; Pass ; Pass ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; Inapplicable ; +--------------------+-----------+--------------+-----------+--------------+--------------+-----------+-----------+--------------+-----------+-----------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+--------------+ @@ -4166,133 +4207,134 @@ Note: Fitter may spread logical memories into multiple blocks to improve timing. +---------------------------+--------+ -+------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Summary ; -+-----------------+----------------------+-------------------+ -; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; -+-----------------+----------------------+-------------------+ -; CLOCK_50 ; CLOCK_50 ; 72.5 ; -+-----------------+----------------------+-------------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Summary ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+-------------------+ +; Source Clock(s) ; Destination Clock(s) ; Delay Added in ns ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+-------------------+ +; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk ; 104.1 ; ++----------------------------------------------------------------------------+----------------------------------------------------------------------------+-------------------+ Note: For more information on problematic transfers, consider running the Fitter again with the Optimize hold timing option (Settings Menu) turned off. This will disable optimization of problematic paths and expose them for further analysis using the TimeQuest Timing Analyzer. -+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Estimated Delay Added for Hold Timing Details ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; Source Register ; Destination Register ; Delay Added in ns ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.844 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|full_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 0.665 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|dffe3a[1] ; 0.648 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.631 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 0.627 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.625 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.624 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[110] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.613 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.613 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[111] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.613 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[112] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.613 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.608 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.592 ; -; uart_ctrl:uart_ctrl|recv_buf_read ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; 0.585 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[7] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.581 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[3] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.577 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[0] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.577 ; -; uart_ctrl:uart_ctrl|readdata[10] ; riscv_core:core|instr[10] ; 0.571 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|dffe3a[1] ; 0.568 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[4] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.568 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.565 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[1] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.563 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[6] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.562 ; -; riscv_core:core|pc[12] ; uart_ctrl:uart_ctrl|readdata[4] ; 0.550 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|dffe3a[1] ; 0.550 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|dffe3a[1] ; 0.550 ; -; lastregno[0] ; riscv_core:core|imm[11] ; 0.547 ; -; lastregno[2] ; riscv_core:core|imm[11] ; 0.531 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|dffe3a[1] ; 0.527 ; -; lastregno[1] ; riscv_core:core|imm[11] ; 0.520 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|dffe3a[1] ; 0.520 ; -; lastregno[3] ; riscv_core:core|imm[11] ; 0.503 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[8] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.500 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[3] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.492 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; uart_ctrl:uart_ctrl|uart_write_data[15] ; 0.489 ; -; lastregno[4] ; riscv_core:core|imm[11] ; 0.486 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[5] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.486 ; -; riscv_core:core|pc[21] ; uart_ctrl:uart_ctrl|readdata[4] ; 0.485 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[6] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.479 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[4] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a4~portb_address_reg0 ; 0.479 ; -; riscv_core:core|pc[24] ; uart_ctrl:uart_ctrl|readdata[4] ; 0.479 ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.473 ; -; riscv_core:core|pc[13] ; uart_ctrl:uart_ctrl|readdata[4] ; 0.472 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[73] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.466 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[29] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[32] ; 0.465 ; -; uart_ctrl:uart_ctrl|readdata[20] ; riscv_core:core|dstvalue[12] ; 0.460 ; -; uart_ctrl:uart_ctrl|readdata[16] ; riscv_core:core|dstvalue[0] ; 0.460 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|dffe3a[1] ; 0.459 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[64] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[66] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[65] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[67] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[66] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[68] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[67] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[69] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[68] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[70] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[69] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[71] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[70] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[72] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[74] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[76] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[78] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[83] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[75] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[77] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[79] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[89] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[90] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[91] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[92] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[94] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[93] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[80] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[84] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[85] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[86] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[82] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[65] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[81] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[9] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[64] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; 0.451 ; -; uart_ctrl:uart_ctrl|readdata[22] ; riscv_core:core|dstvalue[6] ; 0.450 ; -; uart_ctrl:uart_ctrl|readdata[26] ; riscv_core:core|instr[26] ; 0.449 ; -; riscv_core:core|imm[5] ; HEX0[0] ; 0.434 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[96] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[98] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[97] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[99] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[98] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[100] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[99] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[101] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[100] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[102] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[101] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[103] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFStage[133] ; 0.431 ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Estimated Delay Added for Hold Timing Details ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; Source Register ; Destination Register ; Delay Added in ns ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[1] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.781 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[0] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.781 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[7] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.772 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[4] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.767 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[6] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.764 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[3] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.764 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|empty_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.734 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|full_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; 0.712 ; +; lastregno2[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.710 ; +; lastregno2[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.704 ; +; uart_ctrl:uart_ctrl|recv_buf_write ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; 0.692 ; +; lastregno2[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.690 ; +; lastregno2[3] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.669 ; +; riscv_core:core|state.0001 ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.651 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.648 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; 0.647 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|rd_ptr_lsb ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a6~portb_address_reg0 ; 0.636 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|rd_ptr_lsb ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.634 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.632 ; +; riscv_core:core|pc[9] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.628 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_0_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.607 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.594 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.590 ; +; riscv_core:core|divclk[4] ; riscv_core:core|divclk[4] ; 0.583 ; +; riscv_core:core|divclk[3] ; riscv_core:core|divclk[4] ; 0.583 ; +; riscv_core:core|divclk[2] ; riscv_core:core|divclk[4] ; 0.583 ; +; riscv_core:core|divclk[0] ; riscv_core:core|divclk[4] ; 0.583 ; +; riscv_core:core|divclk[1] ; riscv_core:core|divclk[4] ; 0.583 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|d1_rx_char_ready ; uart_ctrl:uart_ctrl|uart_write_data[15] ; 0.581 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|dffe3a[1] ; 0.578 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|dffe_af ; uart_ctrl:uart_ctrl|uart_write_data[15] ; 0.578 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_2_dff ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|usedw_is_1_dff ; 0.575 ; +; uart_ctrl:uart_ctrl|readdata[4] ; riscv_core:core|imm[31] ; 0.573 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[5] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.569 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[3] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.568 ; +; uart_ctrl:uart_ctrl|readdata[2] ; HEX0[0] ; 0.565 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.563 ; +; riscv_core:core|pc[11] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.563 ; +; riscv_core:core|pc[19] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.560 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[8] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.558 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[2] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.557 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.557 ; +; riscv_core:core|pc[20] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.554 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[4] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.553 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[6] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.551 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|dffe3a[1] ; 0.550 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|dffe3a[1] ; 0.543 ; +; riscv_core:core|dstreg[4] ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.535 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[315] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.523 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|dffe3a[1] ; 0.515 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.495 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[0] ; riscv_core:core|dstvalue[30] ; 0.493 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|dffe3a[1] ; 0.485 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1|counter_reg_bit[3] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|dffe3a[1] ; 0.478 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.467 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[1] ; riscv_core:core|dstvalue[30] ; 0.464 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.458 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1|counter_reg_bit[3] ; riscv_core:core|dstvalue[30] ; 0.457 ; +; uart_ctrl:uart_ctrl|readdata[25] ; riscv_core:core|dstvalue[25] ; 0.446 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[8] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.425 ; +; lastregno[4] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[31] ; 0.418 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[7] ; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a2~portb_address_reg0 ; 0.417 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[5] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.414 ; +; riscv_core:core|imm[25] ; uart_ctrl:uart_ctrl|readdata[2] ; 0.402 ; +; lastregno[2] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[31] ; 0.400 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[2] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.398 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.398 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.398 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1|counter_reg_bit[3] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.398 ; +; lastregno[3] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[31] ; 0.395 ; +; lastregno2[4] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[31] ; 0.376 ; +; riscv_core:core|state.0101 ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.365 ; +; riscv_core:core|state.0000 ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.365 ; +; riscv_core:core|state.0011 ; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ram_block1a21~porta_address_reg0 ; 0.365 ; +; lastregno[1] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[31] ; 0.365 ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|cntr_k2b:rd_ptr_msb|counter_reg_bit[2] ; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ram_block1a7~portb_address_reg0 ; 0.359 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|dffe3a[1] ; riscv_core:core|dstvalue[29] ; 0.358 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.356 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ram_block5a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.355 ; +; uart_ctrl:uart_ctrl|uart_write_data[12] ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|baud_rate_counter[12] ; 0.353 ; +; uart_ctrl:uart_ctrl|ctrlstate.00000000 ; uart_ctrl:uart_ctrl|ctrlstate.00000010 ; 0.350 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ram_block5a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.350 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[2] ; 0.342 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; 0.342 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ram_block6a11~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.333 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.332 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|dffe3a[1] ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[324] ; 0.331 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[0] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1|counter_reg_bit[1] ; 0.331 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|dffe3a[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ram_block6a9~FITTER_CREATED_MLAB_CELL0porta_datain_reg0 ; 0.329 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|dffe3a[1] ; riscv_core:core|dstvalue[21] ; 0.327 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|do_load_shifter ; 0.324 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|dffe3a[1] ; riscv_core:core|dstvalue[30] ; 0.322 ; +; uart_ctrl:uart_ctrl|ctrlstate.00000011 ; uart_ctrl:uart_ctrl|ctrlstate.00000000 ; 0.321 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[0] ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 0.319 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|do_start_rx ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[1] ; 0.319 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[1] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1|counter_reg_bit[2] ; 0.313 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[319] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[325] ; 0.309 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[288] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[325] ; 0.309 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[290] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[325] ; 0.309 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFStage[289] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[325] ; 0.309 ; ++----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+-------------------+ Note: This table only shows the top 100 path(s) that have the largest delay added for hold. +-----------------+ ; Fitter Messages ; +-----------------+ -Info (11104): Parallel Compilation has detected 20 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 10 of the 10 physical processors detected instead. +Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead. Info (119006): Selected device 5CSEMA5F31C6 for design "de1_riscv" Info (21077): Low junction temperature is 0 degrees C Info (21077): High junction temperature is 85 degrees C +Warning (21300): LOCKED port on the PLL is not properly connected on instance "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|general[0].gpll". The LOCKED port on the PLL should be connected when the FBOUTCLK port is connected. Although it is unnecessary to connect the LOCKED signal, any logic driven off of an output clock of the PLL will not know when the PLL is locked and ready. Info (171003): Fitter is performing an Auto Fit compilation, which may decrease Fitter effort to reduce compilation time Warning (15714): Some pins have incomplete I/O assignments. Refer to the I/O Assignment Warnings report for details Info (176045): Design uses memory blocks. Violating setup or hold times of memory block address registers for either read or write operations could cause memory contents to be corrupted. Make sure that all memory block address registers meet the setup and hold time requirements. @@ -4300,9 +4342,9 @@ Warning (205009): Dummy RLC values generated in IBIS model files for device 5CSE Info (184020): Starting Fitter periphery placement operations Warning (205009): Dummy RLC values generated in IBIS model files for device 5CSEMA5 with package FBGA and pin count 896 Info (11191): Automatically promoted 1 clock (1 global) - Info (11162): CLOCK_50~inputCLKENA0 with 2089 fanout uses global clock CLKCTRL_G6 + Info (11162): clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0]~CLKENA0 with 2269 fanout uses global clock CLKCTRL_G0 Warning (205009): Dummy RLC values generated in IBIS model files for device 5CSEMA5 with package FBGA and pin count 896 -Info (184021): Fitter periphery placement operations ending: elapsed time is 00:00:00 +Info (184021): Fitter periphery placement operations ending: elapsed time is 00:00:01 Info (332164): Evaluating HDL-embedded SDC commands Info (332165): Entity altera_std_synchronizer Info (332166): set_false_path -to [get_keepers {*altera_std_synchronizer:*|din_s1}] @@ -4326,18 +4368,27 @@ Warning (332174): Ignored filter at de1_riscv.sdc(34): altera_reserved_tdo could Warning (332049): Ignored set_output_delay at de1_riscv.sdc(34): Argument is an empty collection Info (332050): set_output_delay -clock altera_reserved_tck 3 [get_ports altera_reserved_tdo] Warning (332049): Ignored set_output_delay at de1_riscv.sdc(34): Argument -clock is not an object ID +Info (332110): Deriving PLL clocks + Info (332110): create_generated_clock -source {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|refclkin} -multiply_by 6 -duty_cycle 50.00 -name {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]} {clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0]} + Info (332110): create_generated_clock -source {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|vco0ph[0]} -divide_by 6 -duty_cycle 50.00 -name {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk} {clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk} Info (332151): Clock uncertainty is not calculated until you update the timing netlist. Warning (332174): Ignored filter at de1_riscv.sdc(103): VGA_BLANK could not be matched with a port Warning (332049): Ignored set_output_delay at de1_riscv.sdc(103): Argument is an empty collection Info (332050): set_output_delay -max -clock clk_vga 0.215 [get_ports VGA_BLANK] Warning (332049): Ignored set_output_delay at de1_riscv.sdc(104): Argument is an empty collection Info (332050): set_output_delay -min -clock clk_vga -1.485 [get_ports VGA_BLANK] +Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL from: refclkin to: fbclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER from: vco0ph[0] to: divclk + Info (332098): Cell: clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_REFCLK_SELECT from: clkin[0] to: clkout Info (332123): Deriving Clock Uncertainty. Please refer to report_sdc in TimeQuest to see clock uncertainties. Warning (332061): Virtual clock clk_core is never referenced in any input or output delay assignment. Info (332129): Detected timing requirements -- optimizing circuit to achieve only the specified requirements -Info (332111): Found 9 clocks +Info (332111): Found 11 clocks Info (332111): Period Clock Name Info (332111): ======== ============ + Info (332111): 3.333 clk100|clk100m_inst|altera_pll_i|general[0].gpll~FRACTIONAL_PLL|vcoph[0] + Info (332111): 20.000 clk100|clk100m_inst|altera_pll_i|general[0].gpll~PLL_OUTPUT_COUNTER|divclk Info (332111): 54.253 clk_audxck Info (332111): 2.500 clk_core Info (332111): 10.000 clk_dram @@ -4350,32 +4401,31 @@ Info (332111): Found 9 clocks Info (176233): Starting register packing Info (176235): Finished register packing Extra Info (176219): No registers were packed into other blocks -Info (11798): Fitter preparation operations ending: elapsed time is 00:00:08 +Info (11798): Fitter preparation operations ending: elapsed time is 00:00:18 Info (170189): Fitter placement preparation operations beginning -Warning (170052): Fitter has implemented the following 68 RAMs using MLAB locations, which can behave differently during power up than dedicated RAM locations +Warning (170052): Fitter has implemented the following 103 RAMs using MLAB locations, which can behave differently during power up than dedicated RAM locations Info (170241): For more information about RAMs, refer to the Fitter RAM Summary report. -Info (170056): Fitter has implemented the following 68 RAMs using MLAB locations, which will have the same paused read capabilities as dedicated RAM locations +Info (170056): Fitter has implemented the following 103 RAMs using MLAB locations, which will have the same paused read capabilities as dedicated RAM locations Info (170241): For more information about RAMs, refer to the Fitter RAM Summary report. -Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:03 +Info (170190): Fitter placement preparation operations ending: elapsed time is 00:00:08 Info (170191): Fitter placement operations beginning Info (170137): Fitter placement was successful -Info (170192): Fitter placement operations ending: elapsed time is 00:00:03 +Info (170192): Fitter placement operations ending: elapsed time is 00:00:08 Info (170193): Fitter routing operations beginning -Info (170195): Router estimated average interconnect usage is 2% of the available device resources - Info (170196): Router estimated peak interconnect usage is 30% of the available device resources in the region that extends from location X33_Y23 to location X44_Y34 -Info (170194): Fitter routing operations ending: elapsed time is 00:00:05 +Info (170195): Router estimated average interconnect usage is 3% of the available device resources + Info (170196): Router estimated peak interconnect usage is 43% of the available device resources in the region that extends from location X22_Y23 to location X32_Y34 +Info (170194): Fitter routing operations ending: elapsed time is 00:00:16 Info (170199): The Fitter performed an Auto Fit compilation. Optimizations were skipped to reduce compilation time. Info (170201): Optimizations that may affect the design's routability were skipped Info (170200): Optimizations that may affect the design's timing were skipped -Info (11888): Total time spent on timing analysis during the Fitter is 5.16 seconds. +Info (11888): Total time spent on timing analysis during the Fitter is 14.73 seconds. Info (334003): Started post-fitting delay annotation Warning (334000): Timing characteristics of device 5CSEMA5F31C6 are preliminary Info (334004): Delay annotation completed successfully Info (334003): Started post-fitting delay annotation Warning (334000): Timing characteristics of device 5CSEMA5F31C6 are preliminary Info (334004): Delay annotation completed successfully -Info (11801): Fitter post-fit operations ending: elapsed time is 00:00:10 -Warning (171167): Found invalid Fitter assignments. See the Ignored Assignments panel in the Fitter Compilation Report for more information. +Info (11801): Fitter post-fit operations ending: elapsed time is 00:00:41 Warning (169064): Following 60 pins have no output enable or a GND or VCC output enable - later changes to this connectivity may change fitting results Info (169065): Pin AUD_ADCLRCK has a permanently disabled output enable Info (169065): Pin AUD_BCLK has a permanently disabled output enable @@ -4439,10 +4489,10 @@ Warning (169064): Following 60 pins have no output enable or a GND or VCC output Info (169065): Pin GPIO[7] has a permanently disabled output enable Info (144001): Generated suppressed messages file D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/de1_riscv.fit.smsg Info: Quartus II 64-Bit Fitter was successful. 0 errors, 29 warnings - Info: Peak virtual memory: 6787 megabytes - Info: Processing ended: Sun Aug 29 18:52:03 2021 - Info: Elapsed time: 00:00:48 - Info: Total CPU time (on all processors): 00:01:23 + Info: Peak virtual memory: 2347 megabytes + Info: Processing ended: Mon Aug 30 18:42:28 2021 + Info: Elapsed time: 00:02:20 + Info: Total CPU time (on all processors): 00:03:00 +----------------------------+ diff --git a/examples/hdl4se_riscv/de1/de1_riscv.fit.summary b/examples/hdl4se_riscv/de1/de1_riscv.fit.summary index 74bba6f..93d2bb0 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.fit.summary +++ b/examples/hdl4se_riscv/de1/de1_riscv.fit.summary @@ -1,19 +1,19 @@ -Fitter Status : Successful - Sun Aug 29 18:52:02 2021 +Fitter Status : Successful - Mon Aug 30 18:42:25 2021 Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Full Version Revision Name : de1_riscv Top-level Entity Name : de1_riscv Family : Cyclone V Device : 5CSEMA5F31C6 Timing Models : Preliminary -Logic utilization (in ALMs) : 2,653 / 32,070 ( 8 % ) -Total registers : 2042 +Logic utilization (in ALMs) : 2,789 / 32,070 ( 9 % ) +Total registers : 1998 Total pins : 204 / 457 ( 45 % ) Total virtual pins : 0 -Total block memory bits : 82,944 / 4,065,280 ( 2 % ) +Total block memory bits : 1,067,008 / 4,065,280 ( 26 % ) Total DSP Blocks : 10 / 87 ( 11 % ) Total HSSI RX PCSs : 0 Total HSSI PMA RX Deserializers : 0 Total HSSI TX PCSs : 0 Total HSSI TX Channels : 0 -Total PLLs : 0 / 6 ( 0 % ) +Total PLLs : 1 / 6 ( 17 % ) Total DLLs : 0 / 4 ( 0 % ) diff --git a/examples/hdl4se_riscv/de1/de1_riscv.flow.rpt b/examples/hdl4se_riscv/de1/de1_riscv.flow.rpt index 5137551..6dbf8df 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.flow.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.flow.rpt @@ -1,5 +1,5 @@ Flow report for de1_riscv -Sun Aug 29 18:52:29 2021 +Mon Aug 30 18:43:38 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -40,24 +40,24 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Flow Summary ; +---------------------------------+---------------------------------------------+ -; Flow Status ; Successful - Sun Aug 29 18:52:14 2021 ; +; Flow Status ; Successful - Mon Aug 30 18:42:50 2021 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; de1_riscv ; ; Top-level Entity Name ; de1_riscv ; ; Family ; Cyclone V ; ; Device ; 5CSEMA5F31C6 ; ; Timing Models ; Preliminary ; -; Logic utilization (in ALMs) ; 2,653 / 32,070 ( 8 % ) ; -; Total registers ; 2042 ; +; Logic utilization (in ALMs) ; 2,789 / 32,070 ( 9 % ) ; +; Total registers ; 1998 ; ; Total pins ; 204 / 457 ( 45 % ) ; ; Total virtual pins ; 0 ; -; Total block memory bits ; 82,944 / 4,065,280 ( 2 % ) ; +; Total block memory bits ; 1,067,008 / 4,065,280 ( 26 % ) ; ; Total DSP Blocks ; 10 / 87 ( 11 % ) ; ; Total HSSI RX PCSs ; 0 ; ; Total HSSI PMA RX Deserializers ; 0 ; ; Total HSSI TX PCSs ; 0 ; ; Total HSSI TX Channels ; 0 ; -; Total PLLs ; 0 / 6 ( 0 % ) ; +; Total PLLs ; 1 / 6 ( 17 % ) ; ; Total DLLs ; 0 / 4 ( 0 % ) ; +---------------------------------+---------------------------------------------+ @@ -67,7 +67,7 @@ applicable agreement for further details. +-------------------+---------------------+ ; Option ; Setting ; +-------------------+---------------------+ -; Start date & time ; 08/29/2021 18:50:58 ; +; Start date & time ; 08/30/2021 18:39:31 ; ; Main task ; Compilation ; ; Revision Name ; de1_riscv ; +-------------------+---------------------+ @@ -78,7 +78,7 @@ applicable agreement for further details. +-------------------------------------+---------------------------------------+---------------+--------------+------------+ ; Assignment Name ; Value ; Default Value ; Entity Name ; Section Id ; +-------------------------------------+---------------------------------------+---------------+--------------+------------+ -; COMPILER_SIGNATURE_ID ; 101574253398716.163023425830188 ; -- ; -- ; -- ; +; COMPILER_SIGNATURE_ID ; 621136229624.163031997154204 ; -- ; -- ; -- ; ; IP_TOOL_ENV ; mwpim ; -- ; clk100M ; -- ; ; IP_TOOL_ENV ; mwpim ; -- ; clk100M ; -- ; ; IP_TOOL_ENV ; mwpim ; -- ; clk100M_0002 ; -- ; @@ -93,6 +93,8 @@ applicable agreement for further details. ; IP_TOOL_NAME ; LPM_MULT ; -- ; -- ; -- ; ; IP_TOOL_NAME ; RAM: 2-PORT ; -- ; -- ; -- ; ; IP_TOOL_NAME ; FIFO ; -- ; -- ; -- ; +; IP_TOOL_NAME ; RAM: 1-PORT ; -- ; -- ; -- ; +; IP_TOOL_NAME ; RAM: 1-PORT ; -- ; -- ; -- ; ; IP_TOOL_NAME ; altera_pll ; -- ; clk100M ; -- ; ; IP_TOOL_NAME ; altera_pll ; -- ; clk100M ; -- ; ; IP_TOOL_NAME ; altera_pll ; -- ; clk100M_0002 ; -- ; @@ -107,6 +109,8 @@ applicable agreement for further details. ; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; +; IP_TOOL_VERSION ; 13.1 ; -- ; -- ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; clk100M ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; clk100M ; -- ; ; IP_TOOL_VERSION ; 13.1 ; -- ; clk100M_0002 ; -- ; @@ -125,6 +129,8 @@ applicable agreement for further details. ; MISC_FILE ; clk/clk100M_sim/clk100M.vo ; -- ; -- ; -- ; ; MISC_FILE ; regfile/regfile_2_bb.v ; -- ; -- ; -- ; ; MISC_FILE ; uart/uart_fifo_bb.v ; -- ; -- ; -- ; +; MISC_FILE ; ram/ram16kB_bb.v ; -- ; -- ; -- ; +; MISC_FILE ; ram/ram128kB_bb.v ; -- ; -- ; -- ; ; PARTITION_COLOR ; 16764057 ; -- ; -- ; Top ; ; PARTITION_FITTER_PRESERVATION_LEVEL ; PLACEMENT_AND_ROUTING ; -- ; -- ; Top ; ; PARTITION_NETLIST_TYPE ; SOURCE ; -- ; -- ; Top ; @@ -140,11 +146,11 @@ applicable agreement for further details. +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ ; Module Name ; Elapsed Time ; Average Processors Used ; Peak Virtual Memory ; Total CPU Time (on all processors) ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ -; Analysis & Synthesis ; 00:00:15 ; 1.6 ; 4881 MB ; 00:00:15 ; -; Fitter ; 00:00:47 ; 1.7 ; 6787 MB ; 00:01:22 ; -; Assembler ; 00:00:09 ; 1.0 ; 4803 MB ; 00:00:09 ; -; TimeQuest Timing Analyzer ; 00:00:14 ; 2.3 ; 5401 MB ; 00:00:25 ; -; Total ; 00:01:25 ; -- ; -- ; 00:02:11 ; +; Analysis & Synthesis ; 00:00:34 ; 1.0 ; 698 MB ; 00:00:33 ; +; Fitter ; 00:02:17 ; 1.4 ; 2347 MB ; 00:02:57 ; +; Assembler ; 00:00:19 ; 1.0 ; 673 MB ; 00:00:19 ; +; TimeQuest Timing Analyzer ; 00:00:46 ; 1.5 ; 1179 MB ; 00:01:03 ; +; Total ; 00:03:56 ; -- ; -- ; 00:04:52 ; +---------------------------+--------------+-------------------------+---------------------+------------------------------------+ @@ -153,10 +159,10 @@ applicable agreement for further details. +---------------------------+------------------+-----------+------------+----------------+ ; Module Name ; Machine Hostname ; OS Name ; OS Version ; Processor type ; +---------------------------+------------------+-----------+------------+----------------+ -; Analysis & Synthesis ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ; -; Fitter ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ; -; Assembler ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ; -; TimeQuest Timing Analyzer ; DESKTOP-I91JIJO ; Windows 7 ; 6.2 ; x86_64 ; +; Analysis & Synthesis ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ; +; Fitter ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ; +; Assembler ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ; +; TimeQuest Timing Analyzer ; RG6MXLMTA6KAGXI ; Windows 7 ; 6.1 ; x86_64 ; +---------------------------+------------------+-----------+------------+----------------+ diff --git a/examples/hdl4se_riscv/de1/de1_riscv.jdi b/examples/hdl4se_riscv/de1/de1_riscv.jdi index cd51242..a0c3dd4 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.jdi +++ b/examples/hdl4se_riscv/de1/de1_riscv.jdi @@ -1,6 +1,6 @@ - + diff --git a/examples/hdl4se_riscv/de1/de1_riscv.map.rpt b/examples/hdl4se_riscv/de1/de1_riscv.map.rpt index fa43171..69d9c32 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.map.rpt +++ b/examples/hdl4se_riscv/de1/de1_riscv.map.rpt @@ -1,5 +1,5 @@ Analysis & Synthesis report for de1_riscv -Sun Aug 29 18:51:14 2021 +Mon Aug 30 18:40:06 2021 Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version @@ -31,51 +31,56 @@ Quartus II 64-Bit Version 13.1.0 Build 162 10/23/2013 SJ Full Version 23. Source assignments for uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer 24. Source assignments for regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated 25. Source assignments for regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated - 26. Source assignments for ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated + 26. Source assignments for ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated 27. Source assignments for riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider 28. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider - 29. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5 - 30. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5 - 31. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5 - 32. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5 - 33. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4 - 34. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5 - 35. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5 - 36. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5 - 37. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4 - 38. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component - 39. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component - 40. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer - 41. Parameter Settings for User Entity Instance: regfile:regs|altsyncram:altsyncram_component - 42. Parameter Settings for User Entity Instance: regfile:regs2|altsyncram:altsyncram_component - 43. Parameter Settings for User Entity Instance: ram8kb:ram|altsyncram:altsyncram_component - 44. Parameter Settings for User Entity Instance: riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component - 45. Parameter Settings for User Entity Instance: riscv_core:core|suber:sub|lpm_add_sub:LPM_ADD_SUB_component - 46. Parameter Settings for User Entity Instance: riscv_core:core|mult:mul|lpm_mult:lpm_mult_component - 47. Parameter Settings for User Entity Instance: riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component - 48. Parameter Settings for User Entity Instance: riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component - 49. Parameter Settings for User Entity Instance: riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component - 50. Parameter Settings for User Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component - 51. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 - 52. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 - 53. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 - 54. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 - 55. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 - 56. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 - 57. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 - 58. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 - 59. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 - 60. scfifo Parameter Settings by Entity Instance - 61. altsyncram Parameter Settings by Entity Instance - 62. lpm_mult Parameter Settings by Entity Instance - 63. altshift_taps Parameter Settings by Entity Instance - 64. Port Connectivity Checks: "riscv_core:core|mulsu:mul_su" - 65. Port Connectivity Checks: "riscv_core:core|mult:mul" - 66. Port Connectivity Checks: "uart_ctrl:uart_ctrl|altera_uart:uart" - 67. Port Connectivity Checks: "uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf" - 68. Port Connectivity Checks: "uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf" - 69. Elapsed Time Per Partition - 70. Analysis & Synthesis Messages + 29. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5 + 30. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5 + 31. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5 + 32. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5 + 33. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4 + 34. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5 + 35. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5 + 36. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5 + 37. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4 + 38. Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4 + 39. Parameter Settings for User Entity Instance: clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i + 40. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component + 41. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component + 42. Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|altera_std_synchronizer:the_altera_std_synchronizer + 43. Parameter Settings for User Entity Instance: regfile:regs|altsyncram:altsyncram_component + 44. Parameter Settings for User Entity Instance: regfile:regs2|altsyncram:altsyncram_component + 45. Parameter Settings for User Entity Instance: ram128kB:ram|altsyncram:altsyncram_component + 46. Parameter Settings for User Entity Instance: riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component + 47. Parameter Settings for User Entity Instance: riscv_core:core|suber:sub|lpm_add_sub:LPM_ADD_SUB_component + 48. Parameter Settings for User Entity Instance: riscv_core:core|mult:mul|lpm_mult:lpm_mult_component + 49. Parameter Settings for User Entity Instance: riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component + 50. Parameter Settings for User Entity Instance: riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component + 51. Parameter Settings for User Entity Instance: riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component + 52. Parameter Settings for User Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component + 53. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 + 54. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 + 55. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 + 56. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 + 57. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 + 58. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 + 59. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 + 60. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 + 61. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 + 62. Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 + 63. scfifo Parameter Settings by Entity Instance + 64. altsyncram Parameter Settings by Entity Instance + 65. lpm_mult Parameter Settings by Entity Instance + 66. altshift_taps Parameter Settings by Entity Instance + 67. Port Connectivity Checks: "riscv_core:core|mulsu:mul_su" + 68. Port Connectivity Checks: "riscv_core:core|mult:mul" + 69. Port Connectivity Checks: "ram128kB:ram" + 70. Port Connectivity Checks: "uart_ctrl:uart_ctrl|altera_uart:uart" + 71. Port Connectivity Checks: "uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf" + 72. Port Connectivity Checks: "uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf" + 73. Port Connectivity Checks: "clk100M:clk100" + 74. Elapsed Time Per Partition + 75. Analysis & Synthesis Messages @@ -101,22 +106,22 @@ applicable agreement for further details. +-------------------------------------------------------------------------------+ ; Analysis & Synthesis Summary ; +---------------------------------+---------------------------------------------+ -; Analysis & Synthesis Status ; Successful - Sun Aug 29 18:51:14 2021 ; +; Analysis & Synthesis Status ; Successful - Mon Aug 30 18:40:06 2021 ; ; Quartus II 64-Bit Version ; 13.1.0 Build 162 10/23/2013 SJ Full Version ; ; Revision Name ; de1_riscv ; ; Top-level Entity Name ; de1_riscv ; ; Family ; Cyclone V ; ; Logic utilization (in ALMs) ; N/A ; -; Total registers ; 1857 ; +; Total registers ; 1839 ; ; Total pins ; 204 ; ; Total virtual pins ; 0 ; -; Total block memory bits ; 83,465 ; +; Total block memory bits ; 1,067,744 ; ; Total DSP Blocks ; 10 ; ; Total HSSI RX PCSs ; 0 ; ; Total HSSI PMA RX Deserializers ; 0 ; ; Total HSSI TX PCSs ; 0 ; ; Total HSSI TX Channels ; 0 ; -; Total PLLs ; 0 ; +; Total PLLs ; 1 ; ; Total DLLs ; 0 ; +---------------------------------+---------------------------------------------+ @@ -213,16 +218,16 @@ applicable agreement for further details. +----------------------------+-------------+ ; Processors ; Number ; +----------------------------+-------------+ -; Number detected on machine ; 20 ; -; Maximum allowed ; 10 ; +; Number detected on machine ; 4 ; +; Maximum allowed ; 2 ; ; ; ; -; Average used ; 1.60 ; -; Maximum used ; 10 ; +; Average used ; 1.00 ; +; Maximum used ; 2 ; ; ; ; ; Usage by Processor ; % Time Used ; ; Processor 1 ; 100.0% ; -; Processors 2-10 ; 6.7% ; -; Processors 11-20 ; 0.0% ; +; Processor 2 ; < 0.1% ; +; Processors 3-4 ; 0.0% ; +----------------------------+-------------+ @@ -236,7 +241,6 @@ applicable agreement for further details. ; uart/uart_fifo.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/uart/uart_fifo.v ; ; ; uart/uart_ctrl.v ; yes ; User Verilog HDL File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/uart/uart_ctrl.v ; ; ; uart/altera_uart.v ; yes ; User Verilog HDL File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/uart/altera_uart.v ; ; -; ram/ram8kb.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/ram/ram8kb.v ; ; ; regfile/regfile.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/regfile/regfile.v ; ; ; alu/mult.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mult.v ; ; ; alu/mult_s.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mult_s.v ; ; @@ -245,6 +249,10 @@ applicable agreement for further details. ; alu/adder.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/adder.v ; ; ; alu/suber.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/suber.v ; ; ; alu/mulsu.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mulsu.v ; ; +; clk/clk100M.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/clk/clk100M.v ; clk100M ; +; clk/clk100M/clk100M_0002.v ; yes ; User Verilog HDL File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/clk/clk100M/clk100M_0002.v ; clk100M ; +; ram/ram128kB.v ; yes ; User Wizard-Generated File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/ram/ram128kB.v ; ; +; altera_pll.v ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/altera_pll.v ; ; ; scfifo.tdf ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/scfifo.tdf ; ; ; a_regfifo.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/a_regfifo.inc ; ; ; a_dpfifo.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/a_dpfifo.inc ; ; @@ -269,8 +277,11 @@ applicable agreement for further details. ; altram.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/altram.inc ; ; ; altdpram.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/altdpram.inc ; ; ; db/altsyncram_nco1.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_nco1.tdf ; ; -; db/altsyncram_vgr1.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_vgr1.tdf ; ; +; db/altsyncram_vir1.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_vir1.tdf ; ; ; ../test_code/test.mif ; yes ; Auto-Found Memory Initialization File ; D:/gitwork/hdl4se/examples/hdl4se_riscv/test_code/test.mif ; ; +; db/decode_8la.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/decode_8la.tdf ; ; +; db/decode_11a.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/decode_11a.tdf ; ; +; db/mux_5hb.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/mux_5hb.tdf ; ; ; lpm_add_sub.tdf ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/lpm_add_sub.tdf ; ; ; addcore.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/addcore.inc ; ; ; look_add.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/look_add.inc ; ; @@ -298,62 +309,69 @@ applicable agreement for further details. ; lpm_counter.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/lpm_counter.inc ; ; ; lpm_compare.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/lpm_compare.inc ; ; ; lpm_constant.inc ; yes ; Megafunction ; c:/altera/13.1/quartus/libraries/megafunctions/lpm_constant.inc ; ; -; db/shift_taps_bl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_bl21.tdf ; ; -; db/altsyncram_fr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_fr91.tdf ; ; +; db/shift_taps_7l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_7l21.tdf ; ; +; db/altsyncram_kr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_kr91.tdf ; ; ; db/cntr_8jf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_8jf.tdf ; ; ; db/cmpr_c9c.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cmpr_c9c.tdf ; ; -; db/shift_taps_cl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_cl21.tdf ; ; -; db/altsyncram_mr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_mr91.tdf ; ; +; db/shift_taps_hm21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_hm21.tdf ; ; +; db/altsyncram_9u91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_9u91.tdf ; ; ; db/cntr_9jf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_9jf.tdf ; ; -; db/shift_taps_dl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_dl21.tdf ; ; -; db/altsyncram_nr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_nr91.tdf ; ; -; db/shift_taps_0k21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_0k21.tdf ; ; -; db/altsyncram_7p91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_7p91.tdf ; ; +; db/shift_taps_gm21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_gm21.tdf ; ; +; db/altsyncram_7u91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_7u91.tdf ; ; +; db/shift_taps_bl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_bl21.tdf ; ; +; db/altsyncram_rr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_rr91.tdf ; ; ; db/cntr_0if.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_0if.tdf ; ; -; db/shift_taps_tj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_tj21.tdf ; ; -; db/altsyncram_vo91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_vo91.tdf ; ; +; db/shift_taps_9l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_9l21.tdf ; ; +; db/altsyncram_lr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_lr91.tdf ; ; ; db/cntr_uhf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_uhf.tdf ; ; -; db/shift_taps_uj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_uj21.tdf ; ; -; db/altsyncram_0p91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_0p91.tdf ; ; +; db/shift_taps_cl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_cl21.tdf ; ; +; db/altsyncram_hr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_hr91.tdf ; ; ; db/cntr_thf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_thf.tdf ; ; ; db/cmpr_b9c.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cmpr_b9c.tdf ; ; -; db/shift_taps_vj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_vj21.tdf ; ; -; db/altsyncram_1p91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_1p91.tdf ; ; +; db/shift_taps_dl21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_dl21.tdf ; ; +; db/altsyncram_mr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_mr91.tdf ; ; ; db/cntr_shf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_shf.tdf ; ; -; db/shift_taps_qj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_qj21.tdf ; ; -; db/altsyncram_po91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_po91.tdf ; ; +; db/shift_taps_4l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_4l21.tdf ; ; +; db/altsyncram_dr91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_dr91.tdf ; ; ; db/cntr_rhf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_rhf.tdf ; ; -; db/shift_taps_rj21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_rj21.tdf ; ; -; db/altsyncram_no91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_no91.tdf ; ; +; db/shift_taps_3l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_3l21.tdf ; ; +; db/altsyncram_9r91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_9r91.tdf ; ; ; db/cntr_phf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_phf.tdf ; ; +; db/shift_taps_5l21.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/shift_taps_5l21.tdf ; ; +; db/altsyncram_br91.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/altsyncram_br91.tdf ; ; +; db/cntr_ohf.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cntr_ohf.tdf ; ; +; db/cmpr_a9c.tdf ; yes ; Auto-Generated Megafunction ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/db/cmpr_a9c.tdf ; ; +----------------------------------+-----------------+----------------------------------------+--------------------------------------------------------------------------+---------+ -+--------------------------------------------------------------+ -; Analysis & Synthesis Resource Usage Summary ; -+---------------------------------------------+----------------+ -; Resource ; Usage ; -+---------------------------------------------+----------------+ -; Estimate of Logic utilization (ALMs needed) ; 2373 ; -; ; ; -; Combinational ALUT usage for logic ; 4048 ; -; -- 7 input functions ; 75 ; -; -- 6 input functions ; 406 ; -; -- 5 input functions ; 448 ; -; -- 4 input functions ; 939 ; -; -- <=3 input functions ; 2180 ; -; ; ; -; Dedicated logic registers ; 1857 ; -; ; ; -; I/O pins ; 204 ; -; Total MLAB memory bits ; 0 ; -; Total block memory bits ; 83465 ; -; Total DSP Blocks ; 10 ; -; Maximum fan-out node ; CLOCK_50~input ; -; Maximum fan-out ; 2005 ; -; Total fan-out ; 22887 ; -; Average fan-out ; 3.50 ; -+---------------------------------------------+----------------+ ++-------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis Resource Usage Summary ; ++---------------------------------------------+---------------------------------------------------------------------------------+ +; Resource ; Usage ; ++---------------------------------------------+---------------------------------------------------------------------------------+ +; Estimate of Logic utilization (ALMs needed) ; 2458 ; +; ; ; +; Combinational ALUT usage for logic ; 4217 ; +; -- 7 input functions ; 50 ; +; -- 6 input functions ; 464 ; +; -- 5 input functions ; 466 ; +; -- 4 input functions ; 959 ; +; -- <=3 input functions ; 2278 ; +; ; ; +; Dedicated logic registers ; 1839 ; +; ; ; +; I/O pins ; 204 ; +; Total MLAB memory bits ; 0 ; +; Total block memory bits ; 1067744 ; +; Total DSP Blocks ; 10 ; +; Total PLLs ; 1 ; +; -- PLLs ; 1 ; +; ; ; +; Maximum fan-out node ; clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[0] ; +; Maximum fan-out ; 2151 ; +; Total fan-out ; 26035 ; +; Average fan-out ; 3.80 ; ++---------------------------------------------+---------------------------------------------------------------------------------+ +--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -361,63 +379,76 @@ applicable agreement for further details. +-------------------------------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ ; Compilation Hierarchy Node ; LC Combinationals ; LC Registers ; Block Memory Bits ; DSP Blocks ; Pins ; Virtual Pins ; Full Hierarchy Name ; Library Name ; +-------------------------------------------------------------------+-------------------+--------------+-------------------+------------+------+--------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+--------------+ -; |de1_riscv ; 4048 (120) ; 1857 (71) ; 83465 ; 10 ; 204 ; 0 ; |de1_riscv ; work ; -; |ram8kb:ram| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram ; work ; -; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram|altsyncram:altsyncram_component ; work ; -; |altsyncram_vgr1:auto_generated| ; 0 (0) ; 0 (0) ; 65536 ; 0 ; 0 ; 0 ; |de1_riscv|ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated ; work ; +; |de1_riscv ; 4217 (191) ; 1839 (76) ; 1067744 ; 10 ; 204 ; 0 ; |de1_riscv ; work ; +; |clk100M:clk100| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100 ; clk100M ; +; |clk100M_0002:clk100m_inst| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100|clk100M_0002:clk100m_inst ; clk100M ; +; |altera_pll:altera_pll_i| ; 0 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i ; work ; +; |ram128kB:ram| ; 10 (0) ; 2 (0) ; 1048576 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram ; work ; +; |altsyncram:altsyncram_component| ; 10 (0) ; 2 (0) ; 1048576 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component ; work ; +; |altsyncram_vir1:auto_generated| ; 10 (0) ; 2 (2) ; 1048576 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated ; work ; +; |decode_11a:rden_decode| ; 3 (3) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode ; work ; +; |decode_8la:decode3| ; 5 (5) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3 ; work ; +; |mux_5hb:mux2| ; 2 (2) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|mux_5hb:mux2 ; work ; +; |regfile:regs2| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2 ; work ; +; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2|altsyncram:altsyncram_component ; work ; +; |altsyncram_nco1:auto_generated| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated ; work ; ; |regfile:regs| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs ; work ; ; |altsyncram:altsyncram_component| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs|altsyncram:altsyncram_component ; work ; ; |altsyncram_nco1:auto_generated| ; 0 (0) ; 0 (0) ; 1024 ; 0 ; 0 ; 0 ; |de1_riscv|regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated ; work ; -; |riscv_core:core| ; 3661 (1213) ; 1488 (221) ; 521 ; 10 ; 0 ; 0 ; |de1_riscv|riscv_core:core ; work ; +; |riscv_core:core| ; 3743 (1237) ; 1462 (206) ; 736 ; 10 ; 0 ; 0 ; |de1_riscv|riscv_core:core ; work ; ; |adder:add| ; 33 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add ; work ; ; |lpm_add_sub:LPM_ADD_SUB_component| ; 33 (0) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component ; work ; ; |add_sub_tih:auto_generated| ; 33 (33) ; 0 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|adder:add|lpm_add_sub:LPM_ADD_SUB_component|add_sub_tih:auto_generated ; work ; -; |div:div| ; 1030 (0) ; 582 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div ; work ; -; |lpm_divide:LPM_DIVIDE_component| ; 1030 (0) ; 582 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component ; work ; -; |lpm_divide_2jt:auto_generated| ; 1030 (0) ; 582 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated ; work ; -; |sign_div_unsign_8ai:divider| ; 1030 (0) ; 582 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider ; work ; -; |alt_u_div_nlf:divider| ; 1030 (1030) ; 582 (582) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider ; work ; -; |div_s:divs| ; 1255 (0) ; 685 (0) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs ; work ; -; |lpm_divide:LPM_DIVIDE_component| ; 1255 (0) ; 685 (0) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component ; work ; -; |lpm_divide_s4t:auto_generated| ; 1255 (0) ; 685 (0) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated ; work ; -; |sign_div_unsign_2sh:divider| ; 1255 (138) ; 685 (12) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider ; work ; -; |alt_u_div_5eg:divider| ; 1117 (1008) ; 673 (613) ; 521 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider ; work ; -; |altshift_taps:DFFNumerator_rtl_0| ; 13 (0) ; 8 (0) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; work ; -; |shift_taps_bl21:auto_generated| ; 13 (7) ; 8 (4) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated ; work ; -; |altsyncram_fr91:altsyncram5| ; 0 (0) ; 0 (0) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5 ; work ; -; |cntr_8jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|cntr_8jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_1| ; 14 (0) ; 8 (0) ; 77 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; work ; -; |shift_taps_cl21:auto_generated| ; 14 (8) ; 8 (4) ; 77 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated ; work ; -; |altsyncram_mr91:altsyncram5| ; 0 (0) ; 0 (0) ; 77 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5 ; work ; -; |cntr_9jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|cntr_9jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_2| ; 13 (0) ; 8 (0) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; work ; -; |shift_taps_dl21:auto_generated| ; 13 (7) ; 8 (4) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated ; work ; -; |altsyncram_nr91:altsyncram5| ; 0 (0) ; 0 (0) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5 ; work ; -; |cntr_8jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|cntr_8jf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_3| ; 14 (0) ; 8 (0) ; 81 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; work ; -; |shift_taps_0k21:auto_generated| ; 14 (8) ; 8 (4) ; 81 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated ; work ; -; |altsyncram_7p91:altsyncram5| ; 0 (0) ; 0 (0) ; 81 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5 ; work ; -; |cntr_0if:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|cntr_0if:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_4| ; 8 (0) ; 6 (0) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; work ; -; |shift_taps_tj21:auto_generated| ; 8 (5) ; 6 (3) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated ; work ; -; |altsyncram_vo91:altsyncram4| ; 0 (0) ; 0 (0) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4 ; work ; -; |cntr_uhf:cntr1| ; 3 (3) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|cntr_uhf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_5| ; 14 (0) ; 6 (0) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; work ; -; |shift_taps_uj21:auto_generated| ; 14 (7) ; 6 (3) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated ; work ; -; |altsyncram_0p91:altsyncram5| ; 0 (0) ; 0 (0) ; 56 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5 ; work ; -; |cntr_thf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|cntr_thf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_6| ; 13 (0) ; 6 (0) ; 54 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; work ; -; |shift_taps_vj21:auto_generated| ; 13 (6) ; 6 (3) ; 54 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated ; work ; -; |altsyncram_1p91:altsyncram5| ; 0 (0) ; 0 (0) ; 54 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5 ; work ; -; |cntr_shf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|cntr_shf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_7| ; 14 (0) ; 6 (0) ; 35 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; work ; -; |shift_taps_qj21:auto_generated| ; 14 (7) ; 6 (3) ; 35 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated ; work ; -; |altsyncram_po91:altsyncram5| ; 0 (0) ; 0 (0) ; 35 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5 ; work ; -; |cntr_rhf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|cntr_rhf:cntr1 ; work ; -; |altshift_taps:DFFNumerator_rtl_8| ; 6 (0) ; 4 (0) ; 32 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; work ; -; |shift_taps_rj21:auto_generated| ; 6 (4) ; 4 (2) ; 32 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated ; work ; -; |altsyncram_no91:altsyncram4| ; 0 (0) ; 0 (0) ; 32 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4 ; work ; -; |cntr_phf:cntr1| ; 2 (2) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|cntr_phf:cntr1 ; work ; +; |div:div| ; 1008 (0) ; 583 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div ; work ; +; |lpm_divide:LPM_DIVIDE_component| ; 1008 (0) ; 583 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component ; work ; +; |lpm_divide_2jt:auto_generated| ; 1008 (0) ; 583 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated ; work ; +; |sign_div_unsign_8ai:divider| ; 1008 (0) ; 583 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider ; work ; +; |alt_u_div_nlf:divider| ; 1008 (1008) ; 583 (583) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider ; work ; +; |div_s:divs| ; 1335 (0) ; 673 (0) ; 736 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs ; work ; +; |lpm_divide:LPM_DIVIDE_component| ; 1335 (0) ; 673 (0) ; 736 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component ; work ; +; |lpm_divide_s4t:auto_generated| ; 1335 (0) ; 673 (0) ; 736 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated ; work ; +; |sign_div_unsign_2sh:divider| ; 1335 (209) ; 673 (14) ; 736 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider ; work ; +; |alt_u_div_5eg:divider| ; 1113 (1010) ; 651 (595) ; 666 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider ; work ; +; |altshift_taps:DFFNumerator_rtl_0| ; 14 (0) ; 8 (0) ; 110 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; work ; +; |shift_taps_hm21:auto_generated| ; 14 (8) ; 8 (4) ; 110 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated ; work ; +; |altsyncram_9u91:altsyncram5| ; 0 (0) ; 0 (0) ; 110 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5 ; work ; +; |cntr_9jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|cntr_9jf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_1| ; 13 (0) ; 8 (0) ; 100 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; work ; +; |shift_taps_gm21:auto_generated| ; 13 (7) ; 8 (4) ; 100 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated ; work ; +; |altsyncram_7u91:altsyncram5| ; 0 (0) ; 0 (0) ; 100 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5 ; work ; +; |cntr_8jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|cntr_8jf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_2| ; 14 (0) ; 8 (0) ; 108 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; work ; +; |shift_taps_bl21:auto_generated| ; 14 (8) ; 8 (4) ; 108 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated ; work ; +; |altsyncram_rr91:altsyncram5| ; 0 (0) ; 0 (0) ; 108 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5 ; work ; +; |cntr_0if:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|cntr_0if:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_3| ; 8 (0) ; 6 (0) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; work ; +; |shift_taps_9l21:auto_generated| ; 8 (5) ; 6 (3) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated ; work ; +; |altsyncram_lr91:altsyncram4| ; 0 (0) ; 0 (0) ; 80 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4 ; work ; +; |cntr_uhf:cntr1| ; 3 (3) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|cntr_uhf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_4| ; 14 (0) ; 6 (0) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; work ; +; |shift_taps_cl21:auto_generated| ; 14 (7) ; 6 (3) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated ; work ; +; |altsyncram_hr91:altsyncram5| ; 0 (0) ; 0 (0) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5 ; work ; +; |cntr_thf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|cntr_thf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_5| ; 13 (0) ; 6 (0) ; 72 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; work ; +; |shift_taps_dl21:auto_generated| ; 13 (6) ; 6 (3) ; 72 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated ; work ; +; |altsyncram_mr91:altsyncram5| ; 0 (0) ; 0 (0) ; 72 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5 ; work ; +; |cntr_shf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|cntr_shf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_6| ; 14 (0) ; 6 (0) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; work ; +; |shift_taps_4l21:auto_generated| ; 14 (7) ; 6 (3) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated ; work ; +; |altsyncram_dr91:altsyncram5| ; 0 (0) ; 0 (0) ; 50 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5 ; work ; +; |cntr_rhf:cntr1| ; 7 (7) ; 3 (3) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|cntr_rhf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_7| ; 6 (0) ; 4 (0) ; 40 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; work ; +; |shift_taps_3l21:auto_generated| ; 6 (4) ; 4 (2) ; 40 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated ; work ; +; |altsyncram_9r91:altsyncram4| ; 0 (0) ; 0 (0) ; 40 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4 ; work ; +; |cntr_phf:cntr1| ; 2 (2) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|cntr_phf:cntr1 ; work ; +; |altshift_taps:DFFNumerator_rtl_8| ; 7 (0) ; 4 (0) ; 36 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; work ; +; |shift_taps_5l21:auto_generated| ; 7 (2) ; 4 (2) ; 36 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated ; work ; +; |altsyncram_br91:altsyncram4| ; 0 (0) ; 0 (0) ; 36 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4 ; work ; +; |cntr_ohf:cntr1| ; 5 (5) ; 2 (2) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|cntr_ohf:cntr1 ; work ; +; |altshift_taps:DFF_Num_Sign_rtl_0| ; 13 (0) ; 8 (0) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 ; work ; +; |shift_taps_7l21:auto_generated| ; 13 (7) ; 8 (4) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated ; work ; +; |altsyncram_kr91:altsyncram5| ; 0 (0) ; 0 (0) ; 70 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5 ; work ; +; |cntr_8jf:cntr1| ; 6 (6) ; 4 (4) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|cntr_8jf:cntr1 ; work ; ; |mulsu:mul_su| ; 38 (0) ; 0 (0) ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su ; work ; ; |lpm_mult:lpm_mult_component| ; 38 (0) ; 0 (0) ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component ; work ; ; |mult_61n:auto_generated| ; 38 (38) ; 0 (0) ; 0 ; 4 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mulsu:mul_su|lpm_mult:lpm_mult_component|mult_61n:auto_generated ; work ; @@ -427,7 +458,7 @@ applicable agreement for further details. ; |mult_s:mul_s| ; 46 (0) ; 0 (0) ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s ; work ; ; |lpm_mult:lpm_mult_component| ; 46 (0) ; 0 (0) ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component ; work ; ; |mult_81n:auto_generated| ; 46 (46) ; 0 (0) ; 0 ; 3 ; 0 ; 0 ; |de1_riscv|riscv_core:core|mult_s:mul_s|lpm_mult:lpm_mult_component|mult_81n:auto_generated ; work ; -; |uart_ctrl:uart_ctrl| ; 267 (48) ; 298 (100) ; 16384 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl ; work ; +; |uart_ctrl:uart_ctrl| ; 273 (54) ; 299 (101) ; 16384 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl ; work ; ; |altera_uart:uart| ; 102 (0) ; 106 (0) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart ; work ; ; |altera_uart_regs:the_altera_uart_regs| ; 21 (21) ; 34 (34) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs ; work ; ; |altera_uart_rx:the_altera_uart_rx| ; 52 (52) ; 41 (39) ; 0 ; 0 ; 0 ; 0 ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx ; work ; @@ -453,25 +484,27 @@ applicable agreement for further details. Note: For table entries with two numbers listed, the numbers in parentheses indicate the number of resources of the given type used by the specific entity alone. The numbers listed outside of parentheses indicate the total resources of the given type used by the specific entity and all of its sub-entities in the hierarchy. -+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Analysis & Synthesis RAM Summary ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+-----------------------+ -; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+-----------------------+ -; ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 2048 ; 32 ; -- ; -- ; 65536 ; ../test_code/test.mif ; -; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32 ; 32 ; -- ; -- ; 1024 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 10 ; 5 ; 10 ; 5 ; 50 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 11 ; 7 ; 11 ; 7 ; 77 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 10 ; 8 ; 10 ; 8 ; 80 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 9 ; 9 ; 9 ; 9 ; 81 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 7 ; 8 ; 7 ; 56 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 7 ; 8 ; 7 ; 8 ; 56 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 6 ; 9 ; 6 ; 9 ; 54 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 5 ; 7 ; 5 ; 7 ; 35 ; None ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 4 ; 8 ; 4 ; 8 ; 32 ; None ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; None ; -; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; None ; -+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+-------+-----------------------+ ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Analysis & Synthesis RAM Summary ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+---------+-----------------------+ +; Name ; Type ; Mode ; Port A Depth ; Port A Width ; Port B Depth ; Port B Width ; Size ; MIF ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+---------+-----------------------+ +; ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32768 ; 32 ; -- ; -- ; 1048576 ; ../test_code/test.mif ; +; regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32 ; 32 ; -- ; -- ; 1024 ; None ; +; regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|ALTSYNCRAM ; AUTO ; Single Port ; 32 ; 32 ; -- ; -- ; 1024 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 11 ; 10 ; 11 ; 10 ; 110 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 10 ; 10 ; 10 ; 10 ; 100 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 9 ; 12 ; 9 ; 12 ; 108 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 8 ; 10 ; 8 ; 10 ; 80 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 7 ; 10 ; 7 ; 10 ; 70 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 6 ; 12 ; 6 ; 12 ; 72 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 5 ; 10 ; 5 ; 10 ; 50 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 4 ; 10 ; 4 ; 10 ; 40 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 3 ; 12 ; 3 ; 12 ; 36 ; None ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 10 ; 7 ; 10 ; 7 ; 70 ; None ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; None ; +; uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component|scfifo_nrc1:auto_generated|a_dpfifo_br91:dpfifo|altsyncram_fqe1:FIFOram|ALTSYNCRAM ; AUTO ; Simple Dual Port ; 1024 ; 8 ; 1024 ; 8 ; 8192 ; None ; ++---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+------+------------------+--------------+--------------+--------------+--------------+---------+-----------------------+ +--------------------------------------------------------------------------------------+ @@ -497,6 +530,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi +--------+--------------+---------+--------------+--------------+--------------------------------------------------------+---------------------------------------------------------------+ ; Vendor ; IP Core Name ; Version ; Release Date ; License Type ; Entity Instance ; IP Include File ; +--------+--------------+---------+--------------+--------------+--------------------------------------------------------+---------------------------------------------------------------+ +; Altera ; altera_pll ; 13.1 ; N/A ; N/A ; |de1_riscv|clk100M:clk100 ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/clk/clk100M.v ; ; Altera ; LPM_ADD_SUB ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|adder:add ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/adder.v ; ; Altera ; LPM_DIVIDE ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|div:div ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/div.v ; ; Altera ; LPM_DIVIDE ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|div_s:divs ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/div_s.v ; @@ -504,7 +538,7 @@ Note: For table entries with two numbers listed, the numbers in parentheses indi ; Altera ; LPM_MULT ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|mult_s:mul_s ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mult_s.v ; ; Altera ; LPM_MULT ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|mulsu:mul_su ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/mulsu.v ; ; Altera ; LPM_ADD_SUB ; 13.1 ; N/A ; N/A ; |de1_riscv|riscv_core:core|suber:sub ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/alu/suber.v ; -; Altera ; RAM: 1-PORT ; 13.1 ; N/A ; N/A ; |de1_riscv|ram8kb:ram ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/ram/ram8kb.v ; +; Altera ; RAM: 1-PORT ; 13.1 ; N/A ; N/A ; |de1_riscv|ram128kB:ram ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/ram/ram128kB.v ; ; Altera ; RAM: 1-PORT ; 13.1 ; N/A ; N/A ; |de1_riscv|regfile:regs ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/regfile/regfile.v ; ; Altera ; RAM: 1-PORT ; 13.1 ; N/A ; N/A ; |de1_riscv|regfile:regs2 ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/regfile/regfile.v ; ; Altera ; FIFO ; 13.1 ; N/A ; N/A ; |de1_riscv|uart_ctrl:uart_ctrl|uart_fifo:uart_recv_buf ; D:/gitwork/hdl4se/examples/hdl4se_riscv/de1/uart/uart_fifo.v ; @@ -531,17 +565,16 @@ Encoding Type: One-Hot Encoding Type: One-Hot -+-----------------------------------------------------------------------------------------------+ -; State Machine - |de1_riscv|uart_ctrl:uart_ctrl|ctrlstate ; -+---------------+---------------+---------------+---------------+---------------+---------------+ -; Name ; ctrlstate.011 ; ctrlstate.010 ; ctrlstate.001 ; ctrlstate.000 ; ctrlstate.100 ; -+---------------+---------------+---------------+---------------+---------------+---------------+ -; ctrlstate.000 ; 0 ; 0 ; 0 ; 0 ; 0 ; -; ctrlstate.001 ; 0 ; 0 ; 1 ; 1 ; 0 ; -; ctrlstate.010 ; 0 ; 1 ; 0 ; 1 ; 0 ; -; ctrlstate.011 ; 1 ; 0 ; 0 ; 1 ; 0 ; -; ctrlstate.100 ; 0 ; 0 ; 0 ; 1 ; 1 ; -+---------------+---------------+---------------+---------------+---------------+---------------+ ++--------------------------------------------------------------------------------------------------------+ +; State Machine - |de1_riscv|uart_ctrl:uart_ctrl|ctrlstate ; ++--------------------+--------------------+--------------------+--------------------+--------------------+ +; Name ; ctrlstate.00000011 ; ctrlstate.00000010 ; ctrlstate.00000001 ; ctrlstate.00000000 ; ++--------------------+--------------------+--------------------+--------------------+--------------------+ +; ctrlstate.00000000 ; 0 ; 0 ; 0 ; 0 ; +; ctrlstate.00000001 ; 0 ; 0 ; 1 ; 1 ; +; ctrlstate.00000010 ; 0 ; 1 ; 0 ; 1 ; +; ctrlstate.00000011 ; 1 ; 0 ; 0 ; 1 ; ++--------------------+--------------------+--------------------+--------------------+--------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -559,233 +592,33 @@ Encoding Type: One-Hot +----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Register name ; Reason for Removal ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[31] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[11] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[11] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[11] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[63] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[10] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[10] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[10] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[95] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[9] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[9] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[9] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[127] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[8] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[8] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[8] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[159] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[7] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[7] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[7] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[191] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[6] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[6] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[6] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[223] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[5] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[5] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[5] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[255] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[4] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[4] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[4] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[287] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[3] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[3] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[3] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[319] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[2] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[2] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[2] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[351] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[1] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[383] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[0] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[29] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[29] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[28] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[28] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[27] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[27] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[26] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[26] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[25] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[25] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[24] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[24] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[23] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[23] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[22] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[22] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[21] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[21] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[20] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[20] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[19] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[19] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[18] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[18] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[17] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[17] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[16] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[16] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[15] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[15] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[14] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[14] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[13] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[13] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[12] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[12] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[11] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[11] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[10] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[10] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[9] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[9] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[8] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[8] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[7] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[7] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[6] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[6] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[5] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[5] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[4] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[4] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[3] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[3] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[2] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[2] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[1] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[1] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[0] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[0] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[59] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[59] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[58] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[58] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[57] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[57] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[56] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[56] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[55] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[55] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[54] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[54] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[53] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[53] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[52] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[52] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[51] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[51] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[50] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[50] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[49] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[49] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[48] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[48] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[47] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[47] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[46] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[46] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[45] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[45] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[44] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[44] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[43] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[43] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[42] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[42] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[41] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[41] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[40] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[40] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[39] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[39] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[38] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[38] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[37] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[37] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[36] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[36] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[35] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[35] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[34] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[34] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[33] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[33] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[32] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[32] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[31] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[11] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[63] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[10] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[95] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[9] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[127] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[8] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[159] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[7] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[191] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[6] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[223] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[5] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[255] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[4] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[287] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[3] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[319] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[2] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[351] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[1] ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[383] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[0] ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxsync_rxdxx2 ; Merged with uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|delayed_unxsync_rxdxx1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[88] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[88] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[87] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[87] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[86] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[86] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[85] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[85] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[84] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[84] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[83] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[83] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[82] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[82] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[81] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[81] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[80] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[80] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[79] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[79] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[78] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[78] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[77] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[77] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[76] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[76] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[75] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[75] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[74] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[74] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[73] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[73] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[72] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[72] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[71] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[71] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[70] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[70] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[69] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[69] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[68] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[68] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[67] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[67] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[66] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[66] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[65] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[65] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[64] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[64] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[117] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[117] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[116] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[116] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[115] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[115] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[114] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[114] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[113] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[113] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[112] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[112] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[111] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[111] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[110] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[110] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[109] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[108] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[108] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[107] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[107] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[106] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[106] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[105] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[105] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[104] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[104] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[103] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[103] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[102] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[102] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[101] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[101] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[100] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[100] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[99] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[99] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[98] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[98] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[97] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[97] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[96] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[96] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[147] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[146] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[146] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[145] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[145] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[144] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[144] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[143] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[143] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[142] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[141] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[140] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[140] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[139] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[139] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[138] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[138] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[137] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[137] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[136] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[136] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[135] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[135] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[134] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[134] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[133] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[133] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[132] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[132] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[131] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[131] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[130] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[130] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[129] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[129] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[128] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[128] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[176] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[176] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[175] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[175] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[174] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[174] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[173] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[173] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[172] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[172] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[171] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[171] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[170] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[170] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[169] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[169] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[168] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[168] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[167] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[167] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[166] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[166] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[165] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[165] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[164] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[164] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[163] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[163] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[162] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[162] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[161] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[161] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[160] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[160] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[205] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[205] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[204] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[204] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[203] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[203] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[202] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[202] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[201] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[201] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[200] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[200] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[199] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[199] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[198] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[198] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[197] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[197] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[196] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[196] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[195] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[195] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[194] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[194] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[193] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[193] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[192] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[192] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[235] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[235] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[234] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[234] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[233] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[233] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[232] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[232] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[231] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[231] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[230] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[230] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[229] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[229] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[228] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[228] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[227] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[227] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[226] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[226] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[225] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[225] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[224] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[224] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[264] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[264] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[263] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[263] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[262] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[262] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[261] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[261] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[260] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[260] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[259] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[259] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[258] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[258] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[257] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[257] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[256] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[256] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[293] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[293] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[292] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[292] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[291] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[291] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[290] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[290] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[289] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[289] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[288] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[323] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[323] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[322] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[322] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[321] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[321] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[320] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[320] ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[352] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[352] ; -; uart_ctrl:uart_ctrl|readdata[12..15,27..30] ; Merged with uart_ctrl:uart_ctrl|readdata[11] ; +; uart_ctrl:uart_ctrl|readdata[13..15,28..30] ; Merged with uart_ctrl:uart_ctrl|readdata[12] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[0] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[0] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[32] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[32] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[32] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[32] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[64] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[64] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[96] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[96] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[96] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[96] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[128] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[128] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[160] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[160] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[160] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[160] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[192] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[192] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[224] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[224] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[224] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[224] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[256] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[256] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[288] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[288] ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[288] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[288] ; ; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[320] ; Merged with riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[320] ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[352] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[352] ; -; uart_ctrl:uart_ctrl|readdata[11] ; Stuck at GND due to stuck port data_in ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[352] ; Merged with riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[352] ; +; uart_ctrl:uart_ctrl|readdata[12] ; Stuck at GND due to stuck port data_in ; ; uart_ctrl:uart_ctrl|uart_addr[1] ; Stuck at GND due to stuck port data_in ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_regs:the_altera_uart_regs|control_reg[0..7,9] ; Stuck at GND due to stuck port clock_enable ; ; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_shift_empty ; Lost fanout ; @@ -796,9 +629,15 @@ Encoding Type: One-Hot ; riscv_core:core|state~10 ; Lost fanout ; ; riscv_core:core|state~11 ; Lost fanout ; ; riscv_core:core|state~12 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~6 ; Lost fanout ; ; uart_ctrl:uart_ctrl|ctrlstate~7 ; Lost fanout ; ; uart_ctrl:uart_ctrl|ctrlstate~8 ; Lost fanout ; -; Total Number of Removed Registers = 254 ; ; +; uart_ctrl:uart_ctrl|ctrlstate~9 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~10 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~11 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~12 ; Lost fanout ; +; uart_ctrl:uart_ctrl|ctrlstate~13 ; Lost fanout ; +; Total Number of Removed Registers = 58 ; ; +----------------------------------------------------------------------------------------------------------------------------------------------------------------+----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -821,123 +660,123 @@ Encoding Type: One-Hot +----------------------------------------------+-------+ ; Statistic ; Value ; +----------------------------------------------+-------+ -; Total registers ; 1857 ; -; Number of registers using Synchronous Clear ; 208 ; -; Number of registers using Synchronous Load ; 469 ; +; Total registers ; 1839 ; +; Number of registers using Synchronous Clear ; 210 ; +; Number of registers using Synchronous Load ; 509 ; ; Number of registers using Asynchronous Clear ; 106 ; ; Number of registers using Asynchronous Load ; 0 ; -; Number of registers using Clock Enable ; 444 ; +; Number of registers using Clock Enable ; 429 ; ; Number of registers using Preset ; 0 ; +----------------------------------------------+-------+ -+--------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Inverted Register Statistics ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; Inverted Register ; Fan out ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|txd ; 2 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 2 ; -; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[352] ; 3 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[0] ; 71 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFDenominator[320] ; 7 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[321] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[353] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[354] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[322] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[350] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[355] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[323] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[349] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[356] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[357] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[358] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[360] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[361] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[249] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[250] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[251] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[252] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[254] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[253] ; 2 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[4] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[248] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[247] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[362] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[246] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[363] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245] ; 4 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[364] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[217] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[218] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[219] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[220] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[222] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[221] ; 2 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[5] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[212] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[213] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[214] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[216] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[215] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[365] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[211] ; 3 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[366] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[178] ; 2 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[6] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[185] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[186] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[187] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[188] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[190] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[189] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[179] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[180] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[181] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[182] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[184] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[183] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[368] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[176] ; 8 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[177] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[369] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[145] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[143] ; 2 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[7] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[153] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[154] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[155] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[156] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[158] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[157] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[144] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[148] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[149] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[150] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[152] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[151] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[146] ; 7 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[370] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; 6 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[371] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[372] ; 1 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[116] ; 5 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[117] ; 6 ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[8] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[108] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[109] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[110] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[112] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[111] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[121] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[122] ; 2 ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[123] ; 2 ; -; Total number of inverted registers = 392* ; ; -+----------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Inverted Register Statistics ; ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +; Inverted Register ; Fan out ; ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|txd ; 2 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|pre_txd ; 2 ; +; uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|tx_ready ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[0] ; 6 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[352] ; 3 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[1] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[353] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[354] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[350] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[355] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[349] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[356] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[357] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[358] ; 1 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[3] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[282] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[283] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[284] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[286] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[285] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[360] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[280] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[281] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[361] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[249] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[250] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[251] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[252] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[254] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[253] ; 2 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[4] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[248] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[247] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[362] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[246] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[363] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[245] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[364] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[217] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[218] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[219] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[220] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[222] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[221] ; 2 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[5] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[212] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[213] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[214] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[216] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[215] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[365] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[211] ; 3 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[366] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[178] ; 2 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[6] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[185] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[186] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[187] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[188] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[190] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[189] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[179] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[180] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[181] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[182] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[184] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[183] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[368] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[176] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[177] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[369] ; 1 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[7] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[143] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[144] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[146] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[145] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[153] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[154] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[155] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[156] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[158] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[157] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[147] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[148] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[149] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[150] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[152] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[151] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[370] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[142] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[371] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[141] ; 4 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[372] ; 1 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[108] ; 2 ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_q_is_neg[8] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[109] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[110] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[111] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[112] ; 2 ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFDenominator[114] ; 2 ; +; Total number of inverted registers = 392* ; ; ++-------------------------------------------------------------------------------------------------------------------------------------------------------------+---------+ * Table truncated at 100 items. To change the number of inverted registers reported, set the "Number of Inverted Registers Reported" option under Assignments->Settings->Analysis and Synthesis Settings->More Settings @@ -946,33 +785,47 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+ ; Register Name ; Megafunction ; Type ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+ -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[32,64,96,128,160,192,224,256,288,320] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[62,63,94,95,126,127,158,159,190,191,222,223,254,255,286,287,318,319,350,351] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[62,63,94,95,126,127,158,159,190,191,222,223,254,255,286,287,318,319,350,351] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[1..3,33..35,65..67,97..99,129..131,161..163,193..195,225..227,257..259,289..291,321..323] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[60,61,92,93,124,125,156,157,188,189,220,221,252,253,284,285,316,317,348,349,380,381] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[60,61,92,93,124,125,156,157,188,189,220,221,252,253,284,285,316,317,348,349,380,381] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[4,5,36,37,68,69,100,101,132,133,164,165,196,197,228,229,260,261,292,293] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[89..91,121..123,153..155,185..187,217..219,249..251,281..283,313..315,345..347,377..379] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[89..91,121..123,153..155,185..187,217..219,249..251,281..283,313..315,345..347,377..379] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[6..8,38..40,70..72,102..104,134..136,166..168,198..200,230..232,262..264] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[118..120,150..152,182..184,214..216,246..248,278..280,310..312,342..344,374..376] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[118..120,150..152,182..184,214..216,246..248,278..280,310..312,342..344,374..376] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[9..11,41..43,73..75,105..107,137..139,169..171,201..203,233..235] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[148,149,180,181,212,213,244,245,276,277,308,309,340,341,372,373] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[148,149,180,181,212,213,244,245,276,277,308,309,340,341,372,373] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[12,13,44,45,76,77,108,109,140,141,172,173,204,205] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[177..179,209..211,241..243,273..275,305..307,337..339,369..371] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[177..179,209..211,241..243,273..275,305..307,337..339,369..371] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[14..16,46..48,78..80,110..112,142..144,174..176] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[206..208,238..240,270..272,302..304,334..336,366..368] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[206..208,238..240,270..272,302..304,334..336,366..368] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[17..19,49..51,81..83,113..115,145..147] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[236,237,268,269,300,301,332,333,364,365] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[236,237,268,269,300,301,332,333,364,365] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[20,21,52,53,84,85,116,117] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; -; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[265..267,297..299,329..331,361..363] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; -; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[265..267,297..299,329..331,361..363] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign[1..10] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[32,64,96,128,160,192,224,256,288,320] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[62,63,94,95,126,127,158,159,190,191,222,223,254,255,286,287,318,319,350,351] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[32,64,96,128,160,192,224,256,288,320] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[62,63,94,95,126,127,158,159,190,191,222,223,254,255,286,287,318,319,350,351] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[1..3,33..35,65..67,97..99,129..131,161..163,193..195,225..227,257..259,289..291,321..323] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[60,61,92,93,124,125,156,157,188,189,220,221,252,253,284,285,316,317,348,349,380,381] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[1..3,33..35,65..67,97..99,129..131,161..163,193..195,225..227,257..259,289..291,321..323] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[60,61,92,93,124,125,156,157,188,189,220,221,252,253,284,285,316,317,348,349,380,381] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[4,5,36,37,68,69,100,101,132,133,164,165,196,197,228,229,260,261,292,293] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[89..91,121..123,153..155,185..187,217..219,249..251,281..283,313..315,345..347,377..379] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[4,5,36,37,68,69,100,101,132,133,164,165,196,197,228,229,260,261,292,293] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[89..91,121..123,153..155,185..187,217..219,249..251,281..283,313..315,345..347,377..379] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[6..8,38..40,70..72,102..104,134..136,166..168,198..200,230..232,262..264] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[118..120,150..152,182..184,214..216,246..248,278..280,310..312,342..344,374..376] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[6..8,38..40,70..72,102..104,134..136,166..168,198..200,230..232,262..264] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[118..120,150..152,182..184,214..216,246..248,278..280,310..312,342..344,374..376] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[9..11,41..43,73..75,105..107,137..139,169..171,201..203,233..235] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[148,149,180,181,212,213,244,245,276,277,308,309,340,341,372,373] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[9..11,41..43,73..75,105..107,137..139,169..171,201..203,233..235] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[148,149,180,181,212,213,244,245,276,277,308,309,340,341,372,373] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[12,13,44,45,76,77,108,109,140,141,172,173,204,205] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[177..179,209..211,241..243,273..275,305..307,337..339,369..371] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[12,13,44,45,76,77,108,109,140,141,172,173,204,205] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[177..179,209..211,241..243,273..275,305..307,337..339,369..371] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[14..16,46..48,78..80,110..112,142..144,174..176] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[206..208,238..240,270..272,302..304,334..336,366..368] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[14..16,46..48,78..80,110..112,142..144,174..176] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[206..208,238..240,270..272,302..304,334..336,366..368] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[17..19,49..51,81..83,113..115,145..147] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[236,237,268,269,300,301,332,333,364,365] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[17..19,49..51,81..83,113..115,145..147] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[236,237,268,269,300,301,332,333,364,365] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[20,21,52,53,84,85,116,117] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[265..267,297..299,329..331,361..363] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[20,21,52,53,84,85,116,117] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[265..267,297..299,329..331,361..363] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator[22..24,54..56,86..88] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFQuotient[294..296,326..328,358..360] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFNumerator[22..24,54..56,86..88] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +; riscv_core:core|div:div|lpm_divide:LPM_DIVIDE_component|lpm_divide_2jt:auto_generated|sign_div_unsign_8ai:divider|alt_u_div_nlf:divider|DFFQuotient[294..296,326..328,358..360] ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8 ; SHIFT_TAPS ; +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------+------------+ @@ -981,54 +834,58 @@ Encoding Type: One-Hot +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------+ ; Multiplexer Inputs ; Bus Width ; Baseline Area ; Area if Restructured ; Saving if Restructured ; Registered ; Example Multiplexer Output ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------+ -; 3:1 ; 8 bits ; 16 LEs ; 0 LEs ; 16 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[8] ; -; 3:1 ; 10 bits ; 20 LEs ; 10 LEs ; 10 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[0] ; -; 3:1 ; 15 bits ; 30 LEs ; 30 LEs ; 0 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[5] ; +; 3:1 ; 8 bits ; 16 LEs ; 0 LEs ; 16 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_tx:the_altera_uart_tx|unxshiftxtx_shift_register_contentsxtx_shift_reg_outxx5_out[3] ; +; 3:1 ; 10 bits ; 20 LEs ; 10 LEs ; 10 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|unxshiftxrxd_shift_regxshift_reg_start_bit_nxx6_out[3] ; +; 3:1 ; 15 bits ; 30 LEs ; 30 LEs ; 0 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|altera_uart:uart|altera_uart_rx:the_altera_uart_rx|baud_rate_counter[4] ; ; 4:1 ; 2 bits ; 4 LEs ; 2 LEs ; 2 LEs ; Yes ; |de1_riscv|riscv_core:core|ldaddr[0] ; ; 4:1 ; 11 bits ; 22 LEs ; 0 LEs ; 22 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|newdiv[9] ; -; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|newdiv[10] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[11] ; -; 5:1 ; 14 bits ; 42 LEs ; 0 LEs ; 42 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|readdata[8] ; -; 5:1 ; 8 bits ; 24 LEs ; 0 LEs ; 24 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|readdata[1] ; -; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|recv_buf_data[0] ; +; 4:1 ; 5 bits ; 10 LEs ; 5 LEs ; 5 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|newdiv[1] ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[12] ; +; 5:1 ; 16 bits ; 48 LEs ; 0 LEs ; 48 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|readdata[25] ; +; 5:1 ; 8 bits ; 24 LEs ; 8 LEs ; 16 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|readdata[4] ; +; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|recv_buf_data[6] ; ; 6:1 ; 4 bits ; 16 LEs ; 8 LEs ; 8 LEs ; Yes ; |de1_riscv|led1[6] ; -; 6:1 ; 24 bits ; 96 LEs ; 48 LEs ; 48 LEs ; Yes ; |de1_riscv|led3[2] ; -; 5:1 ; 8 bits ; 24 LEs ; 0 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[3] ; -; 6:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_addr[0] ; -; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_write_data[13] ; -; 6:1 ; 11 bits ; 44 LEs ; 0 LEs ; 44 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|lastdiv[3] ; -; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_write_data[0] ; -; 6:1 ; 5 bits ; 20 LEs ; 5 LEs ; 15 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|lastdiv[2] ; -; 14:1 ; 6 bits ; 54 LEs ; 6 LEs ; 48 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[8] ; -; 7:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |de1_riscv|led4[6] ; -; 7:1 ; 12 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |de1_riscv|led5[0] ; -; 7:1 ; 5 bits ; 20 LEs ; 5 LEs ; 15 LEs ; Yes ; |de1_riscv|riscv_core:core|divclk[0] ; +; 6:1 ; 24 bits ; 96 LEs ; 48 LEs ; 48 LEs ; Yes ; |de1_riscv|led1[1] ; +; 5:1 ; 8 bits ; 24 LEs ; 0 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[4] ; +; 6:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_addr[2] ; +; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_write_data[12] ; +; 6:1 ; 11 bits ; 44 LEs ; 0 LEs ; 44 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|lastdiv[9] ; +; 6:1 ; 8 bits ; 32 LEs ; 0 LEs ; 32 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|uart_write_data[7] ; +; 6:1 ; 5 bits ; 20 LEs ; 5 LEs ; 15 LEs ; Yes ; |de1_riscv|uart_ctrl:uart_ctrl|lastdiv[4] ; +; 7:1 ; 2 bits ; 8 LEs ; 4 LEs ; 4 LEs ; Yes ; |de1_riscv|led5[6] ; +; 7:1 ; 12 bits ; 48 LEs ; 24 LEs ; 24 LEs ; Yes ; |de1_riscv|led4[1] ; +; 14:1 ; 6 bits ; 54 LEs ; 6 LEs ; 48 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[5] ; +; 7:1 ; 5 bits ; 20 LEs ; 5 LEs ; 15 LEs ; Yes ; |de1_riscv|riscv_core:core|divclk[4] ; ; 15:1 ; 8 bits ; 80 LEs ; 0 LEs ; 80 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[18] ; -; 16:1 ; 4 bits ; 40 LEs ; 8 LEs ; 32 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[1] ; -; 10:1 ; 11 bits ; 66 LEs ; 0 LEs ; 66 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[26] ; -; 14:1 ; 2 bits ; 18 LEs ; 4 LEs ; 14 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[0] ; -; 14:1 ; 25 bits ; 225 LEs ; 50 LEs ; 175 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[17] ; -; 14:1 ; 4 bits ; 36 LEs ; 8 LEs ; 28 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[4] ; -; 8:1 ; 8 bits ; 40 LEs ; 16 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[16] ; -; 8:1 ; 8 bits ; 40 LEs ; 16 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[26] ; -; 23:1 ; 5 bits ; 75 LEs ; 30 LEs ; 45 LEs ; Yes ; |de1_riscv|riscv_core:core|dstreg[4] ; -; 55:1 ; 12 bits ; 432 LEs ; 240 LEs ; 192 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[19] ; -; 58:1 ; 7 bits ; 266 LEs ; 224 LEs ; 42 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[10] ; -; 60:1 ; 3 bits ; 120 LEs ; 96 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[5] ; -; 59:1 ; 3 bits ; 117 LEs ; 96 LEs ; 21 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[30] ; -; 64:1 ; 2 bits ; 84 LEs ; 68 LEs ; 16 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[3] ; +; 16:1 ; 4 bits ; 40 LEs ; 8 LEs ; 32 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[4] ; +; 10:1 ; 11 bits ; 66 LEs ; 0 LEs ; 66 LEs ; Yes ; |de1_riscv|riscv_core:core|imm[24] ; +; 21:1 ; 2 bits ; 28 LEs ; 4 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[0] ; +; 21:1 ; 26 bits ; 364 LEs ; 52 LEs ; 312 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[13] ; +; 21:1 ; 4 bits ; 56 LEs ; 8 LEs ; 48 LEs ; Yes ; |de1_riscv|riscv_core:core|pc[6] ; +; 8:1 ; 8 bits ; 40 LEs ; 16 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[19] ; +; 8:1 ; 8 bits ; 40 LEs ; 16 LEs ; 24 LEs ; Yes ; |de1_riscv|riscv_core:core|writedata[25] ; +; 39:1 ; 5 bits ; 130 LEs ; 25 LEs ; 105 LEs ; Yes ; |de1_riscv|riscv_core:core|dstreg[1] ; +; 58:1 ; 12 bits ; 456 LEs ; 264 LEs ; 192 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[16] ; +; 61:1 ; 7 bits ; 280 LEs ; 217 LEs ; 63 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[13] ; +; 63:1 ; 3 bits ; 126 LEs ; 93 LEs ; 33 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[4] ; +; 62:1 ; 3 bits ; 123 LEs ; 96 LEs ; 27 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[28] ; +; 67:1 ; 2 bits ; 88 LEs ; 68 LEs ; 20 LEs ; Yes ; |de1_riscv|riscv_core:core|dstvalue[3] ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftLeft1 ; -; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftLeft1 ; +; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight1 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight1 ; -; 3:1 ; 3 bits ; 6 LEs ; 6 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[13] ; -; 3:1 ; 6 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[27] ; -; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftLeft0 ; +; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight0 ; ; 3:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight0 ; ; 3:1 ; 8 bits ; 16 LEs ; 16 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|ShiftRight0 ; -; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|bReadAddr[4] ; -; 4:1 ; 9 bits ; 18 LEs ; 18 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[8] ; -; 4:1 ; 12 bits ; 24 LEs ; 24 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[20] ; -; 10:1 ; 4 bits ; 24 LEs ; 24 LEs ; 0 LEs ; No ; |de1_riscv|uart_ctrl:uart_ctrl|ctrlstate ; +; 4:1 ; 30 bits ; 60 LEs ; 60 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|bReadAddr[21] ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|Selector163 ; +; 6:1 ; 3 bits ; 12 LEs ; 12 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[15] ; +; 6:1 ; 2 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[12] ; +; 6:1 ; 2 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[14] ; +; 4:1 ; 2 bits ; 4 LEs ; 4 LEs ; 0 LEs ; No ; |de1_riscv|riscv_core:core|Selector185 ; +; 7:1 ; 11 bits ; 44 LEs ; 44 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[20] ; +; 7:1 ; 10 bits ; 40 LEs ; 40 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[8] ; +; 7:1 ; 2 bits ; 8 LEs ; 8 LEs ; 0 LEs ; No ; |de1_riscv|bReadData[25] ; +; 9:1 ; 3 bits ; 18 LEs ; 15 LEs ; 3 LEs ; No ; |de1_riscv|uart_ctrl:uart_ctrl|ctrlstate ; +--------------------+-----------+---------------+----------------------+------------------------+------------+--------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -1091,13 +948,13 @@ Encoding Type: One-Hot +---------------------------------+--------------------+------+---------------------------------------+ -+--------------------------------------------------------------------------------------------------+ -; Source assignments for ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated ; -+---------------------------------+--------------------+------+------------------------------------+ -; Assignment ; Value ; From ; To ; -+---------------------------------+--------------------+------+------------------------------------+ -; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; -+---------------------------------+--------------------+------+------------------------------------+ ++----------------------------------------------------------------------------------------------------+ +; Source assignments for ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated ; ++---------------------------------+--------------------+------+--------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+--------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+--------------------------------------+ +----------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2269,8 +2126,17 @@ Encoding Type: One-Hot +----------------+-------+------+-----------------------------------------------------------------------------------------------------------------------------------+ ++-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0|shift_taps_7l21:auto_generated|altsyncram_kr91:altsyncram5 ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Assignment ; Value ; From ; To ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; OPTIMIZE_POWER_DURING_SYNTHESIS ; NORMAL_COMPILATION ; - ; - ; ++---------------------------------+--------------------+------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_bl21:auto_generated|altsyncram_fr91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0|shift_taps_hm21:auto_generated|altsyncram_9u91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2279,7 +2145,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_cl21:auto_generated|altsyncram_mr91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1|shift_taps_gm21:auto_generated|altsyncram_7u91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2288,7 +2154,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_dl21:auto_generated|altsyncram_nr91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2|shift_taps_bl21:auto_generated|altsyncram_rr91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2297,7 +2163,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_0k21:auto_generated|altsyncram_7p91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3|shift_taps_9l21:auto_generated|altsyncram_lr91:altsyncram4 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2306,7 +2172,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_tj21:auto_generated|altsyncram_vo91:altsyncram4 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4|shift_taps_cl21:auto_generated|altsyncram_hr91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2315,7 +2181,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_uj21:auto_generated|altsyncram_0p91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5|shift_taps_dl21:auto_generated|altsyncram_mr91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2324,7 +2190,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_vj21:auto_generated|altsyncram_1p91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6|shift_taps_4l21:auto_generated|altsyncram_dr91:altsyncram5 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2333,7 +2199,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_qj21:auto_generated|altsyncram_po91:altsyncram5 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7|shift_taps_3l21:auto_generated|altsyncram_9r91:altsyncram4 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2342,7 +2208,7 @@ Encoding Type: One-Hot +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_rj21:auto_generated|altsyncram_no91:altsyncram4 ; +; Source assignments for riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8|shift_taps_5l21:auto_generated|altsyncram_br91:altsyncram4 ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Assignment ; Value ; From ; To ; +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2350,6 +2216,231 @@ Encoding Type: One-Hot +---------------------------------+--------------------+------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ++---------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i ; ++--------------------------------------+---------------+--------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++--------------------------------------+---------------+--------------------------------------------------------+ +; reference_clock_frequency ; 50.0 MHz ; String ; +; fractional_vco_multiplier ; false ; String ; +; pll_type ; General ; String ; +; pll_subtype ; General ; String ; +; number_of_clocks ; 2 ; Signed Integer ; +; operation_mode ; direct ; String ; +; deserialization_factor ; 4 ; Signed Integer ; +; data_rate ; 0 ; Signed Integer ; +; sim_additional_refclk_cycles_to_lock ; 0 ; Signed Integer ; +; output_clock_frequency0 ; 50.000000 MHz ; String ; +; phase_shift0 ; 0 ps ; String ; +; duty_cycle0 ; 50 ; Signed Integer ; +; output_clock_frequency1 ; 75.000000 MHz ; String ; +; phase_shift1 ; 0 ps ; String ; +; duty_cycle1 ; 50 ; Signed Integer ; +; output_clock_frequency2 ; 0 MHz ; String ; +; phase_shift2 ; 0 ps ; String ; +; duty_cycle2 ; 50 ; Signed Integer ; +; output_clock_frequency3 ; 0 MHz ; String ; +; phase_shift3 ; 0 ps ; String ; +; duty_cycle3 ; 50 ; Signed Integer ; +; output_clock_frequency4 ; 0 MHz ; String ; +; phase_shift4 ; 0 ps ; String ; +; duty_cycle4 ; 50 ; Signed Integer ; +; output_clock_frequency5 ; 0 MHz ; String ; +; phase_shift5 ; 0 ps ; String ; +; duty_cycle5 ; 50 ; Signed Integer ; +; output_clock_frequency6 ; 0 MHz ; String ; +; phase_shift6 ; 0 ps ; String ; +; duty_cycle6 ; 50 ; Signed Integer ; +; output_clock_frequency7 ; 0 MHz ; String ; +; phase_shift7 ; 0 ps ; String ; +; duty_cycle7 ; 50 ; Signed Integer ; +; output_clock_frequency8 ; 0 MHz ; String ; +; phase_shift8 ; 0 ps ; String ; +; duty_cycle8 ; 50 ; Signed Integer ; +; output_clock_frequency9 ; 0 MHz ; String ; +; phase_shift9 ; 0 ps ; String ; +; duty_cycle9 ; 50 ; Signed Integer ; +; output_clock_frequency10 ; 0 MHz ; String ; +; phase_shift10 ; 0 ps ; String ; +; duty_cycle10 ; 50 ; Signed Integer ; +; output_clock_frequency11 ; 0 MHz ; String ; +; phase_shift11 ; 0 ps ; String ; +; duty_cycle11 ; 50 ; Signed Integer ; +; output_clock_frequency12 ; 0 MHz ; String ; +; phase_shift12 ; 0 ps ; String ; +; duty_cycle12 ; 50 ; Signed Integer ; +; output_clock_frequency13 ; 0 MHz ; String ; +; phase_shift13 ; 0 ps ; String ; +; duty_cycle13 ; 50 ; Signed Integer ; +; output_clock_frequency14 ; 0 MHz ; String ; +; phase_shift14 ; 0 ps ; String ; +; duty_cycle14 ; 50 ; Signed Integer ; +; output_clock_frequency15 ; 0 MHz ; String ; +; phase_shift15 ; 0 ps ; String ; +; duty_cycle15 ; 50 ; Signed Integer ; +; output_clock_frequency16 ; 0 MHz ; String ; +; phase_shift16 ; 0 ps ; String ; +; duty_cycle16 ; 50 ; Signed Integer ; +; output_clock_frequency17 ; 0 MHz ; String ; +; phase_shift17 ; 0 ps ; String ; +; duty_cycle17 ; 50 ; Signed Integer ; +; m_cnt_hi_div ; 1 ; Signed Integer ; +; m_cnt_lo_div ; 1 ; Signed Integer ; +; m_cnt_bypass_en ; false ; String ; +; m_cnt_odd_div_duty_en ; false ; String ; +; n_cnt_hi_div ; 1 ; Signed Integer ; +; n_cnt_lo_div ; 1 ; Signed Integer ; +; n_cnt_bypass_en ; false ; String ; +; n_cnt_odd_div_duty_en ; false ; String ; +; c_cnt_hi_div0 ; 1 ; Signed Integer ; +; c_cnt_lo_div0 ; 1 ; Signed Integer ; +; c_cnt_bypass_en0 ; false ; String ; +; c_cnt_in_src0 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en0 ; false ; String ; +; c_cnt_prst0 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst0 ; 0 ; Signed Integer ; +; c_cnt_hi_div1 ; 1 ; Signed Integer ; +; c_cnt_lo_div1 ; 1 ; Signed Integer ; +; c_cnt_bypass_en1 ; false ; String ; +; c_cnt_in_src1 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en1 ; false ; String ; +; c_cnt_prst1 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst1 ; 0 ; Signed Integer ; +; c_cnt_hi_div2 ; 1 ; Signed Integer ; +; c_cnt_lo_div2 ; 1 ; Signed Integer ; +; c_cnt_bypass_en2 ; false ; String ; +; c_cnt_in_src2 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en2 ; false ; String ; +; c_cnt_prst2 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst2 ; 0 ; Signed Integer ; +; c_cnt_hi_div3 ; 1 ; Signed Integer ; +; c_cnt_lo_div3 ; 1 ; Signed Integer ; +; c_cnt_bypass_en3 ; false ; String ; +; c_cnt_in_src3 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en3 ; false ; String ; +; c_cnt_prst3 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst3 ; 0 ; Signed Integer ; +; c_cnt_hi_div4 ; 1 ; Signed Integer ; +; c_cnt_lo_div4 ; 1 ; Signed Integer ; +; c_cnt_bypass_en4 ; false ; String ; +; c_cnt_in_src4 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en4 ; false ; String ; +; c_cnt_prst4 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst4 ; 0 ; Signed Integer ; +; c_cnt_hi_div5 ; 1 ; Signed Integer ; +; c_cnt_lo_div5 ; 1 ; Signed Integer ; +; c_cnt_bypass_en5 ; false ; String ; +; c_cnt_in_src5 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en5 ; false ; String ; +; c_cnt_prst5 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst5 ; 0 ; Signed Integer ; +; c_cnt_hi_div6 ; 1 ; Signed Integer ; +; c_cnt_lo_div6 ; 1 ; Signed Integer ; +; c_cnt_bypass_en6 ; false ; String ; +; c_cnt_in_src6 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en6 ; false ; String ; +; c_cnt_prst6 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst6 ; 0 ; Signed Integer ; +; c_cnt_hi_div7 ; 1 ; Signed Integer ; +; c_cnt_lo_div7 ; 1 ; Signed Integer ; +; c_cnt_bypass_en7 ; false ; String ; +; c_cnt_in_src7 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en7 ; false ; String ; +; c_cnt_prst7 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst7 ; 0 ; Signed Integer ; +; c_cnt_hi_div8 ; 1 ; Signed Integer ; +; c_cnt_lo_div8 ; 1 ; Signed Integer ; +; c_cnt_bypass_en8 ; false ; String ; +; c_cnt_in_src8 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en8 ; false ; String ; +; c_cnt_prst8 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst8 ; 0 ; Signed Integer ; +; c_cnt_hi_div9 ; 1 ; Signed Integer ; +; c_cnt_lo_div9 ; 1 ; Signed Integer ; +; c_cnt_bypass_en9 ; false ; String ; +; c_cnt_in_src9 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en9 ; false ; String ; +; c_cnt_prst9 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst9 ; 0 ; Signed Integer ; +; c_cnt_hi_div10 ; 1 ; Signed Integer ; +; c_cnt_lo_div10 ; 1 ; Signed Integer ; +; c_cnt_bypass_en10 ; false ; String ; +; c_cnt_in_src10 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en10 ; false ; String ; +; c_cnt_prst10 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst10 ; 0 ; Signed Integer ; +; c_cnt_hi_div11 ; 1 ; Signed Integer ; +; c_cnt_lo_div11 ; 1 ; Signed Integer ; +; c_cnt_bypass_en11 ; false ; String ; +; c_cnt_in_src11 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en11 ; false ; String ; +; c_cnt_prst11 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst11 ; 0 ; Signed Integer ; +; c_cnt_hi_div12 ; 1 ; Signed Integer ; +; c_cnt_lo_div12 ; 1 ; Signed Integer ; +; c_cnt_bypass_en12 ; false ; String ; +; c_cnt_in_src12 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en12 ; false ; String ; +; c_cnt_prst12 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst12 ; 0 ; Signed Integer ; +; c_cnt_hi_div13 ; 1 ; Signed Integer ; +; c_cnt_lo_div13 ; 1 ; Signed Integer ; +; c_cnt_bypass_en13 ; false ; String ; +; c_cnt_in_src13 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en13 ; false ; String ; +; c_cnt_prst13 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst13 ; 0 ; Signed Integer ; +; c_cnt_hi_div14 ; 1 ; Signed Integer ; +; c_cnt_lo_div14 ; 1 ; Signed Integer ; +; c_cnt_bypass_en14 ; false ; String ; +; c_cnt_in_src14 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en14 ; false ; String ; +; c_cnt_prst14 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst14 ; 0 ; Signed Integer ; +; c_cnt_hi_div15 ; 1 ; Signed Integer ; +; c_cnt_lo_div15 ; 1 ; Signed Integer ; +; c_cnt_bypass_en15 ; false ; String ; +; c_cnt_in_src15 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en15 ; false ; String ; +; c_cnt_prst15 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst15 ; 0 ; Signed Integer ; +; c_cnt_hi_div16 ; 1 ; Signed Integer ; +; c_cnt_lo_div16 ; 1 ; Signed Integer ; +; c_cnt_bypass_en16 ; false ; String ; +; c_cnt_in_src16 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en16 ; false ; String ; +; c_cnt_prst16 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst16 ; 0 ; Signed Integer ; +; c_cnt_hi_div17 ; 1 ; Signed Integer ; +; c_cnt_lo_div17 ; 1 ; Signed Integer ; +; c_cnt_bypass_en17 ; false ; String ; +; c_cnt_in_src17 ; ph_mux_clk ; String ; +; c_cnt_odd_div_duty_en17 ; false ; String ; +; c_cnt_prst17 ; 1 ; Signed Integer ; +; c_cnt_ph_mux_prst17 ; 0 ; Signed Integer ; +; pll_vco_div ; 1 ; Signed Integer ; +; pll_output_clk_frequency ; 0 MHz ; String ; +; pll_cp_current ; 0 ; Signed Integer ; +; pll_bwctrl ; 0 ; Signed Integer ; +; pll_fractional_division ; 1 ; Signed Integer ; +; pll_fractional_cout ; 24 ; Signed Integer ; +; pll_dsm_out_sel ; 1st_order ; String ; +; mimic_fbclk_type ; gclk ; String ; +; pll_fbclk_mux_1 ; glb ; String ; +; pll_fbclk_mux_2 ; fb_1 ; String ; +; pll_m_cnt_in_src ; ph_mux_clk ; String ; +; pll_vcoph_div ; 1 ; Signed Integer ; +; refclk1_frequency ; 0 MHz ; String ; +; pll_clkin_0_src ; clk_0 ; String ; +; pll_clkin_1_src ; clk_0 ; String ; +; pll_clk_loss_sw_en ; false ; String ; +; pll_auto_clk_sw_en ; false ; String ; +; pll_manu_clk_sw_en ; false ; String ; +; pll_clk_sw_dly ; 0 ; Signed Integer ; ++--------------------------------------+---------------+--------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + +------------------------------------------------------------------------------------------------------------------+ ; Parameter Settings for User Entity Instance: uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component ; +-------------------------+-------------+--------------------------------------------------------------------------+ @@ -2538,64 +2629,64 @@ Note: In order to hide this table in the UI and the text report file, please set Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". -+-----------------------------------------------------------------------------------------+ -; Parameter Settings for User Entity Instance: ram8kb:ram|altsyncram:altsyncram_component ; -+------------------------------------+-----------------------+----------------------------+ -; Parameter Name ; Value ; Type ; -+------------------------------------+-----------------------+----------------------------+ -; BYTE_SIZE_BLOCK ; 8 ; Untyped ; -; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; -; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; -; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; -; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; -; WIDTH_BYTEENA ; 1 ; Untyped ; -; OPERATION_MODE ; SINGLE_PORT ; Untyped ; -; WIDTH_A ; 32 ; Signed Integer ; -; WIDTHAD_A ; 11 ; Signed Integer ; -; NUMWORDS_A ; 2048 ; Signed Integer ; -; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; -; ADDRESS_ACLR_A ; NONE ; Untyped ; -; OUTDATA_ACLR_A ; NONE ; Untyped ; -; WRCONTROL_ACLR_A ; NONE ; Untyped ; -; INDATA_ACLR_A ; NONE ; Untyped ; -; BYTEENA_ACLR_A ; NONE ; Untyped ; -; WIDTH_B ; 1 ; Untyped ; -; WIDTHAD_B ; 1 ; Untyped ; -; NUMWORDS_B ; 1 ; Untyped ; -; INDATA_REG_B ; CLOCK1 ; Untyped ; -; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; -; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; -; ADDRESS_REG_B ; CLOCK1 ; Untyped ; -; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; -; BYTEENA_REG_B ; CLOCK1 ; Untyped ; -; INDATA_ACLR_B ; NONE ; Untyped ; -; WRCONTROL_ACLR_B ; NONE ; Untyped ; -; ADDRESS_ACLR_B ; NONE ; Untyped ; -; OUTDATA_ACLR_B ; NONE ; Untyped ; -; RDCONTROL_ACLR_B ; NONE ; Untyped ; -; BYTEENA_ACLR_B ; NONE ; Untyped ; -; WIDTH_BYTEENA_A ; 4 ; Signed Integer ; -; WIDTH_BYTEENA_B ; 1 ; Untyped ; -; RAM_BLOCK_TYPE ; AUTO ; Untyped ; -; BYTE_SIZE ; 8 ; Signed Integer ; -; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; -; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; -; INIT_FILE ; ../test_code/test.mif ; Untyped ; -; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; -; MAXIMUM_DEPTH ; 0 ; Untyped ; -; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; -; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; -; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; -; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; -; ENABLE_ECC ; FALSE ; Untyped ; -; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; -; WIDTH_ECCSTATUS ; 3 ; Untyped ; -; DEVICE_FAMILY ; Cyclone V ; Untyped ; -; CBXI_PARAMETER ; altsyncram_vgr1 ; Untyped ; -+------------------------------------+-----------------------+----------------------------+ ++-------------------------------------------------------------------------------------------+ +; Parameter Settings for User Entity Instance: ram128kB:ram|altsyncram:altsyncram_component ; ++------------------------------------+-----------------------+------------------------------+ +; Parameter Name ; Value ; Type ; ++------------------------------------+-----------------------+------------------------------+ +; BYTE_SIZE_BLOCK ; 8 ; Untyped ; +; AUTO_CARRY_CHAINS ; ON ; AUTO_CARRY ; +; IGNORE_CARRY_BUFFERS ; OFF ; IGNORE_CARRY ; +; AUTO_CASCADE_CHAINS ; ON ; AUTO_CASCADE ; +; IGNORE_CASCADE_BUFFERS ; OFF ; IGNORE_CASCADE ; +; WIDTH_BYTEENA ; 1 ; Untyped ; +; OPERATION_MODE ; SINGLE_PORT ; Untyped ; +; WIDTH_A ; 32 ; Signed Integer ; +; WIDTHAD_A ; 15 ; Signed Integer ; +; NUMWORDS_A ; 32768 ; Signed Integer ; +; OUTDATA_REG_A ; UNREGISTERED ; Untyped ; +; ADDRESS_ACLR_A ; NONE ; Untyped ; +; OUTDATA_ACLR_A ; NONE ; Untyped ; +; WRCONTROL_ACLR_A ; NONE ; Untyped ; +; INDATA_ACLR_A ; NONE ; Untyped ; +; BYTEENA_ACLR_A ; NONE ; Untyped ; +; WIDTH_B ; 1 ; Untyped ; +; WIDTHAD_B ; 1 ; Untyped ; +; NUMWORDS_B ; 1 ; Untyped ; +; INDATA_REG_B ; CLOCK1 ; Untyped ; +; WRCONTROL_WRADDRESS_REG_B ; CLOCK1 ; Untyped ; +; RDCONTROL_REG_B ; CLOCK1 ; Untyped ; +; ADDRESS_REG_B ; CLOCK1 ; Untyped ; +; OUTDATA_REG_B ; UNREGISTERED ; Untyped ; +; BYTEENA_REG_B ; CLOCK1 ; Untyped ; +; INDATA_ACLR_B ; NONE ; Untyped ; +; WRCONTROL_ACLR_B ; NONE ; Untyped ; +; ADDRESS_ACLR_B ; NONE ; Untyped ; +; OUTDATA_ACLR_B ; NONE ; Untyped ; +; RDCONTROL_ACLR_B ; NONE ; Untyped ; +; BYTEENA_ACLR_B ; NONE ; Untyped ; +; WIDTH_BYTEENA_A ; 4 ; Signed Integer ; +; WIDTH_BYTEENA_B ; 1 ; Untyped ; +; RAM_BLOCK_TYPE ; AUTO ; Untyped ; +; BYTE_SIZE ; 8 ; Signed Integer ; +; READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_A ; NEW_DATA_NO_NBE_READ ; Untyped ; +; READ_DURING_WRITE_MODE_PORT_B ; NEW_DATA_NO_NBE_READ ; Untyped ; +; INIT_FILE ; ../test_code/test.mif ; Untyped ; +; INIT_FILE_LAYOUT ; PORT_A ; Untyped ; +; MAXIMUM_DEPTH ; 0 ; Untyped ; +; CLOCK_ENABLE_INPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_INPUT_B ; NORMAL ; Untyped ; +; CLOCK_ENABLE_OUTPUT_A ; BYPASS ; Untyped ; +; CLOCK_ENABLE_OUTPUT_B ; NORMAL ; Untyped ; +; CLOCK_ENABLE_CORE_A ; USE_INPUT_CLKEN ; Untyped ; +; CLOCK_ENABLE_CORE_B ; USE_INPUT_CLKEN ; Untyped ; +; ENABLE_ECC ; FALSE ; Untyped ; +; ECC_PIPELINE_STAGE_ENABLED ; FALSE ; Untyped ; +; WIDTH_ECCSTATUS ; 3 ; Untyped ; +; DEVICE_FAMILY ; Cyclone V ; Untyped ; +; CBXI_PARAMETER ; altsyncram_vir1 ; Untyped ; ++------------------------------------+-----------------------+------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2806,6 +2897,21 @@ Note: In order to hide this table in the UI and the text report file, please set Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". ++--------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 ; ++----------------+-----------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Parameter Name ; Value ; Type ; ++----------------+-----------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; WIDTH_BYTEENA ; 1 ; Untyped ; +; NUMBER_OF_TAPS ; 1 ; Untyped ; +; TAP_DISTANCE ; 10 ; Untyped ; +; WIDTH ; 7 ; Untyped ; +; POWER_UP_STATE ; DONT_CARE ; Untyped ; +; CBXI_PARAMETER ; shift_taps_7l21 ; Untyped ; ++----------------+-----------------+---------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". + + +------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Parameter Settings for Inferred Entity Instance: riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -2813,10 +2919,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 10 ; Untyped ; -; WIDTH ; 5 ; Untyped ; +; TAP_DISTANCE ; 11 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_bl21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_hm21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2828,10 +2934,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 11 ; Untyped ; -; WIDTH ; 7 ; Untyped ; +; TAP_DISTANCE ; 10 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_cl21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_gm21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2843,10 +2949,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 10 ; Untyped ; -; WIDTH ; 8 ; Untyped ; +; TAP_DISTANCE ; 9 ; Untyped ; +; WIDTH ; 12 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_dl21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_bl21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2858,10 +2964,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 9 ; Untyped ; -; WIDTH ; 9 ; Untyped ; +; TAP_DISTANCE ; 8 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_0k21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_9l21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2873,10 +2979,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 8 ; Untyped ; -; WIDTH ; 7 ; Untyped ; +; TAP_DISTANCE ; 7 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_tj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_cl21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2888,10 +2994,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 7 ; Untyped ; -; WIDTH ; 8 ; Untyped ; +; TAP_DISTANCE ; 6 ; Untyped ; +; WIDTH ; 12 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_uj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_dl21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2903,10 +3009,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 6 ; Untyped ; -; WIDTH ; 9 ; Untyped ; +; TAP_DISTANCE ; 5 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_vj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_4l21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2918,10 +3024,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 5 ; Untyped ; -; WIDTH ; 7 ; Untyped ; +; TAP_DISTANCE ; 4 ; Untyped ; +; WIDTH ; 10 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_qj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_3l21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2933,10 +3039,10 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; WIDTH_BYTEENA ; 1 ; Untyped ; ; NUMBER_OF_TAPS ; 1 ; Untyped ; -; TAP_DISTANCE ; 4 ; Untyped ; -; WIDTH ; 8 ; Untyped ; +; TAP_DISTANCE ; 3 ; Untyped ; +; WIDTH ; 12 ; Untyped ; ; POWER_UP_STATE ; DONT_CARE ; Untyped ; -; CBXI_PARAMETER ; shift_taps_rj21 ; Untyped ; +; CBXI_PARAMETER ; shift_taps_5l21 ; Untyped ; +----------------+-----------------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ Note: In order to hide this table in the UI and the text report file, please set the "Show Parameter Settings in Synthesis Report" option in "Analysis and Synthesis Settings -> More Settings" to "Off". @@ -2990,10 +3096,10 @@ Note: In order to hide this table in the UI and the text report file, please set ; -- OUTDATA_REG_B ; UNREGISTERED ; ; -- RAM_BLOCK_TYPE ; AUTO ; ; -- READ_DURING_WRITE_MODE_MIXED_PORTS ; DONT_CARE ; -; Entity Instance ; ram8kb:ram|altsyncram:altsyncram_component ; +; Entity Instance ; ram128kB:ram|altsyncram:altsyncram_component ; ; -- OPERATION_MODE ; SINGLE_PORT ; ; -- WIDTH_A ; 32 ; -; -- NUMWORDS_A ; 2048 ; +; -- NUMWORDS_A ; 32768 ; ; -- OUTDATA_REG_A ; UNREGISTERED ; ; -- WIDTH_B ; 1 ; ; -- NUMWORDS_B ; 1 ; @@ -3051,43 +3157,47 @@ Note: In order to hide this table in the UI and the text report file, please set +----------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Name ; Value ; +----------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ -; Number of entity instances ; 9 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; +; Number of entity instances ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 10 ; -; -- WIDTH ; 5 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; +; -- WIDTH ; 7 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 11 ; -; -- WIDTH ; 7 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 10 ; -; -- WIDTH ; 8 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 9 ; -; -- WIDTH ; 9 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; +; -- WIDTH ; 12 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 8 ; -; -- WIDTH ; 7 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 7 ; -; -- WIDTH ; 8 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 6 ; -; -- WIDTH ; 9 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; +; -- WIDTH ; 12 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 5 ; -; -- WIDTH ; 7 ; -; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7 ; ; -- NUMBER_OF_TAPS ; 1 ; ; -- TAP_DISTANCE ; 4 ; -; -- WIDTH ; 8 ; +; -- WIDTH ; 10 ; +; Entity Instance ; riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8 ; +; -- NUMBER_OF_TAPS ; 1 ; +; -- TAP_DISTANCE ; 3 ; +; -- WIDTH ; 12 ; +----------------------------+-----------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ @@ -3111,6 +3221,15 @@ Note: In order to hide this table in the UI and the text report file, please set +---------------+--------+----------+-------------------------------------------------------------------------------------+ ++------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "ram128kB:ram" ; ++---------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++---------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ +; address ; Input ; Warning ; Input port expression (30 bits) is wider than the input port (15 bits) it drives. The 15 most-significant bit(s) in the expression will be dangling if they have no other fanouts. ; ++---------+-------+----------+-------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ + + +---------------------------------------------------------------------------------------------------------------------------+ ; Port Connectivity Checks: "uart_ctrl:uart_ctrl|altera_uart:uart" ; +-----------------+--------+----------+-------------------------------------------------------------------------------------+ @@ -3141,12 +3260,22 @@ Note: In order to hide this table in the UI and the text report file, please set +------+--------+----------+----------------------------------------------+ ++--------------------------------------------------------------------------------------------------------------------+ +; Port Connectivity Checks: "clk100M:clk100" ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ +; Port ; Type ; Severity ; Details ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ +; outclk_1 ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; +; locked ; Output ; Info ; Connected to dangling logic. Logic that only feeds a dangling port will be removed. ; ++----------+--------+----------+-------------------------------------------------------------------------------------+ + + +-------------------------------+ ; Elapsed Time Per Partition ; +----------------+--------------+ ; Partition Name ; Elapsed Time ; +----------------+--------------+ -; Top ; 00:00:11 ; +; Top ; 00:00:27 ; +----------------+--------------+ @@ -3156,13 +3285,13 @@ Note: In order to hide this table in the UI and the text report file, please set Info: ******************************************************************* Info: Running Quartus II 64-Bit Analysis & Synthesis Info: Version 13.1.0 Build 162 10/23/2013 SJ Full Version - Info: Processing started: Sun Aug 29 18:50:58 2021 + Info: Processing started: Mon Aug 30 18:39:30 2021 Info: Command: quartus_map --read_settings_files=on --write_settings_files=off de1_riscv -c de1_riscv Warning (125092): Tcl Script File alu/add_sub.qip not found Info (125063): set_global_assignment -name QIP_FILE alu/add_sub.qip Warning (125092): Tcl Script File alu/add_sub_s.qip not found Info (125063): set_global_assignment -name QIP_FILE alu/add_sub_s.qip -Info (11104): Parallel Compilation has detected 20 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 10 of the 10 physical processors detected instead. +Info (11104): Parallel Compilation has detected 4 hyper-threaded processors. However, the extra hyper-threaded processors will not be used by default. Parallel Compilation will use 2 of the 2 physical processors detected instead. Warning (10335): Unrecognized synthesis attribute "HDL4SE" at ../verilog/riscv_core_v3.v(47) Warning (10335): Unrecognized synthesis attribute "CLSID" at ../verilog/riscv_core_v3.v(48) Warning (10335): Unrecognized synthesis attribute "softmodule" at ../verilog/riscv_core_v3.v(49) @@ -3208,6 +3337,10 @@ Info (12021): Found 1 design units, including 1 entities, in source file clk/clk Info (12023): Found entity 1: clk100M_0002 Info (12021): Found 1 design units, including 1 entities, in source file regfile/regfile_2.v Info (12023): Found entity 1: regfile_2 +Info (12021): Found 1 design units, including 1 entities, in source file ram/ram16kb.v + Info (12023): Found entity 1: ram16kB +Info (12021): Found 1 design units, including 1 entities, in source file ram/ram128kb.v + Info (12023): Found entity 1: ram128kB Info (12127): Elaborating entity "de1_riscv" for the top level hierarchy Warning (10036): Verilog HDL or VHDL warning at de1_riscv_v3.v(124): object "readcmd" assigned a value but never read Warning (10230): Verilog HDL assignment warning at de1_riscv_v3.v(208): truncated value with size 8 to match size of target (7) @@ -3255,8 +3388,79 @@ Warning (10034): Output port "VGA_CLK" at de1_riscv_v3.v(84) has no driver Warning (10034): Output port "VGA_HS" at de1_riscv_v3.v(86) has no driver Warning (10034): Output port "VGA_SYNC_N" at de1_riscv_v3.v(88) has no driver Warning (10034): Output port "VGA_VS" at de1_riscv_v3.v(89) has no driver +Info (12128): Elaborating entity "clk100M" for hierarchy "clk100M:clk100" +Info (12128): Elaborating entity "clk100M_0002" for hierarchy "clk100M:clk100|clk100M_0002:clk100m_inst" +Info (12128): Elaborating entity "altera_pll" for hierarchy "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i" +Warning (10036): Verilog HDL or VHDL warning at altera_pll.v(398): object "cntsel_temp" assigned a value but never read +Warning (10036): Verilog HDL or VHDL warning at altera_pll.v(400): object "gnd" assigned a value but never read +Warning (10034): Output port "lvds_clk" at altera_pll.v(295) has no driver +Warning (10034): Output port "loaden" at altera_pll.v(296) has no driver +Info (10008): Verilog HDL or VHDL information: EDA Netlist Writer cannot regroup multidimensional array "wire_to_nowhere_64" into its bus +Info (12130): Elaborated megafunction instantiation "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i" +Info (12133): Instantiated megafunction "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i" with the following parameter: + Info (12134): Parameter "fractional_vco_multiplier" = "false" + Info (12134): Parameter "reference_clock_frequency" = "50.0 MHz" + Info (12134): Parameter "operation_mode" = "direct" + Info (12134): Parameter "number_of_clocks" = "2" + Info (12134): Parameter "output_clock_frequency0" = "50.000000 MHz" + Info (12134): Parameter "phase_shift0" = "0 ps" + Info (12134): Parameter "duty_cycle0" = "50" + Info (12134): Parameter "output_clock_frequency1" = "75.000000 MHz" + Info (12134): Parameter "phase_shift1" = "0 ps" + Info (12134): Parameter "duty_cycle1" = "50" + Info (12134): Parameter "output_clock_frequency2" = "0 MHz" + Info (12134): Parameter "phase_shift2" = "0 ps" + Info (12134): Parameter "duty_cycle2" = "50" + Info (12134): Parameter "output_clock_frequency3" = "0 MHz" + Info (12134): Parameter "phase_shift3" = "0 ps" + Info (12134): Parameter "duty_cycle3" = "50" + Info (12134): Parameter "output_clock_frequency4" = "0 MHz" + Info (12134): Parameter "phase_shift4" = "0 ps" + Info (12134): Parameter "duty_cycle4" = "50" + Info (12134): Parameter "output_clock_frequency5" = "0 MHz" + Info (12134): Parameter "phase_shift5" = "0 ps" + Info (12134): Parameter "duty_cycle5" = "50" + Info (12134): Parameter "output_clock_frequency6" = "0 MHz" + Info (12134): Parameter "phase_shift6" = "0 ps" + Info (12134): Parameter "duty_cycle6" = "50" + Info (12134): Parameter "output_clock_frequency7" = "0 MHz" + Info (12134): Parameter "phase_shift7" = "0 ps" + Info (12134): Parameter "duty_cycle7" = "50" + Info (12134): Parameter "output_clock_frequency8" = "0 MHz" + Info (12134): Parameter "phase_shift8" = "0 ps" + Info (12134): Parameter "duty_cycle8" = "50" + Info (12134): Parameter "output_clock_frequency9" = "0 MHz" + Info (12134): Parameter "phase_shift9" = "0 ps" + Info (12134): Parameter "duty_cycle9" = "50" + Info (12134): Parameter "output_clock_frequency10" = "0 MHz" + Info (12134): Parameter "phase_shift10" = "0 ps" + Info (12134): Parameter "duty_cycle10" = "50" + Info (12134): Parameter "output_clock_frequency11" = "0 MHz" + Info (12134): Parameter "phase_shift11" = "0 ps" + Info (12134): Parameter "duty_cycle11" = "50" + Info (12134): Parameter "output_clock_frequency12" = "0 MHz" + Info (12134): Parameter "phase_shift12" = "0 ps" + Info (12134): Parameter "duty_cycle12" = "50" + Info (12134): Parameter "output_clock_frequency13" = "0 MHz" + Info (12134): Parameter "phase_shift13" = "0 ps" + Info (12134): Parameter "duty_cycle13" = "50" + Info (12134): Parameter "output_clock_frequency14" = "0 MHz" + Info (12134): Parameter "phase_shift14" = "0 ps" + Info (12134): Parameter "duty_cycle14" = "50" + Info (12134): Parameter "output_clock_frequency15" = "0 MHz" + Info (12134): Parameter "phase_shift15" = "0 ps" + Info (12134): Parameter "duty_cycle15" = "50" + Info (12134): Parameter "output_clock_frequency16" = "0 MHz" + Info (12134): Parameter "phase_shift16" = "0 ps" + Info (12134): Parameter "duty_cycle16" = "50" + Info (12134): Parameter "output_clock_frequency17" = "0 MHz" + Info (12134): Parameter "phase_shift17" = "0 ps" + Info (12134): Parameter "duty_cycle17" = "50" + Info (12134): Parameter "pll_type" = "General" + Info (12134): Parameter "pll_subtype" = "General" Info (12128): Elaborating entity "uart_ctrl" for hierarchy "uart_ctrl:uart_ctrl" -Warning (10230): Verilog HDL assignment warning at uart_ctrl.v(104): truncated value with size 32 to match size of target (16) +Warning (10036): Verilog HDL or VHDL warning at uart_ctrl.v(94): object "waitclk" assigned a value but never read +Warning (10230): Verilog HDL assignment warning at uart_ctrl.v(106): truncated value with size 32 to match size of target (16) Warning (10230): Verilog HDL assignment warning at uart_ctrl.v(177): truncated value with size 32 to match size of target (16) Info (12128): Elaborating entity "uart_fifo" for hierarchy "uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf" Info (12128): Elaborating entity "scfifo" for hierarchy "uart_ctrl:uart_ctrl|uart_fifo:uart_send_buf|scfifo:scfifo_component" @@ -3326,10 +3530,10 @@ Info (12133): Instantiated megafunction "regfile:regs|altsyncram:altsyncram_comp Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_nco1.tdf Info (12023): Found entity 1: altsyncram_nco1 Info (12128): Elaborating entity "altsyncram_nco1" for hierarchy "regfile:regs|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated" -Info (12128): Elaborating entity "ram8kb" for hierarchy "ram8kb:ram" -Info (12128): Elaborating entity "altsyncram" for hierarchy "ram8kb:ram|altsyncram:altsyncram_component" -Info (12130): Elaborated megafunction instantiation "ram8kb:ram|altsyncram:altsyncram_component" -Info (12133): Instantiated megafunction "ram8kb:ram|altsyncram:altsyncram_component" with the following parameter: +Info (12128): Elaborating entity "ram128kB" for hierarchy "ram128kB:ram" +Info (12128): Elaborating entity "altsyncram" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component" +Info (12130): Elaborated megafunction instantiation "ram128kB:ram|altsyncram:altsyncram_component" +Info (12133): Instantiated megafunction "ram128kB:ram|altsyncram:altsyncram_component" with the following parameter: Info (12134): Parameter "byte_size" = "8" Info (12134): Parameter "clock_enable_input_a" = "BYPASS" Info (12134): Parameter "clock_enable_output_a" = "BYPASS" @@ -3337,18 +3541,27 @@ Info (12133): Instantiated megafunction "ram8kb:ram|altsyncram:altsyncram_compon Info (12134): Parameter "intended_device_family" = "Cyclone V" Info (12134): Parameter "lpm_hint" = "ENABLE_RUNTIME_MOD=NO" Info (12134): Parameter "lpm_type" = "altsyncram" - Info (12134): Parameter "numwords_a" = "2048" + Info (12134): Parameter "numwords_a" = "32768" Info (12134): Parameter "operation_mode" = "SINGLE_PORT" Info (12134): Parameter "outdata_aclr_a" = "NONE" Info (12134): Parameter "outdata_reg_a" = "UNREGISTERED" Info (12134): Parameter "power_up_uninitialized" = "FALSE" Info (12134): Parameter "read_during_write_mode_port_a" = "NEW_DATA_NO_NBE_READ" - Info (12134): Parameter "widthad_a" = "11" + Info (12134): Parameter "widthad_a" = "15" Info (12134): Parameter "width_a" = "32" Info (12134): Parameter "width_byteena_a" = "4" -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vgr1.tdf - Info (12023): Found entity 1: altsyncram_vgr1 -Info (12128): Elaborating entity "altsyncram_vgr1" for hierarchy "ram8kb:ram|altsyncram:altsyncram_component|altsyncram_vgr1:auto_generated" +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vir1.tdf + Info (12023): Found entity 1: altsyncram_vir1 +Info (12128): Elaborating entity "altsyncram_vir1" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated" +Info (12021): Found 1 design units, including 1 entities, in source file db/decode_8la.tdf + Info (12023): Found entity 1: decode_8la +Info (12128): Elaborating entity "decode_8la" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_8la:decode3" +Info (12021): Found 1 design units, including 1 entities, in source file db/decode_11a.tdf + Info (12023): Found entity 1: decode_11a +Info (12128): Elaborating entity "decode_11a" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|decode_11a:rden_decode" +Info (12021): Found 1 design units, including 1 entities, in source file db/mux_5hb.tdf + Info (12023): Found entity 1: mux_5hb +Info (12128): Elaborating entity "mux_5hb" for hierarchy "ram128kB:ram|altsyncram:altsyncram_component|altsyncram_vir1:auto_generated|mux_5hb:mux2" Info (12128): Elaborating entity "riscv_core" for hierarchy "riscv_core:core" Warning (10762): Verilog HDL Case Statement warning at riscv_core_v3.v(174): can't check case statement for completeness because the case expression has too many possible states Warning (10762): Verilog HDL Case Statement warning at riscv_core_v3.v(194): can't check case statement for completeness because the case expression has too many possible states @@ -3463,196 +3676,185 @@ Info (12021): Found 1 design units, including 1 entities, in source file db/alt_ Info (12023): Found entity 1: alt_u_div_5eg Info (12128): Elaborating entity "alt_u_div_5eg" for hierarchy "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider" Warning (14284): Synthesized away the following node(s): - Warning (14285): Synthesized away the following RAM node(s): - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[0]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[1]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[2]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[3]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[4]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[5]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[6]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[7]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[8]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[9]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[10]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[11]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[12]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[13]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[14]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[15]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[16]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[17]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[18]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[19]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[20]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[21]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[22]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[23]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[24]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[25]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[26]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[27]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[28]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[29]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[30]" - Warning (14320): Synthesized away node "regfile:regs2|altsyncram:altsyncram_component|altsyncram_nco1:auto_generated|q_a[31]" + Warning (14285): Synthesized away the following PLL node(s): + Warning (14320): Synthesized away node "clk100M:clk100|clk100M_0002:clk100m_inst|altera_pll:altera_pll_i|outclk_wire[1]" Info (286030): Timing-Driven Synthesis is running -Info (19000): Inferred 9 megafunctions from design logic - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0" +Info (19000): Inferred 10 megafunctions from design logic + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|DFF_Num_Sign_rtl_0" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 10 - Info (286033): Parameter WIDTH set to 5 + Info (286033): Parameter WIDTH set to 7 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_0" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 11 - Info (286033): Parameter WIDTH set to 7 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_1" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 10 - Info (286033): Parameter WIDTH set to 8 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_2" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 9 - Info (286033): Parameter WIDTH set to 9 + Info (286033): Parameter WIDTH set to 12 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_3" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 8 - Info (286033): Parameter WIDTH set to 7 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_4" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 7 - Info (286033): Parameter WIDTH set to 8 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_5" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 6 - Info (286033): Parameter WIDTH set to 9 + Info (286033): Parameter WIDTH set to 12 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_6" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 5 - Info (286033): Parameter WIDTH set to 7 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE - Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8" + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_7" Info (286033): Parameter NUMBER_OF_TAPS set to 1 Info (286033): Parameter TAP_DISTANCE set to 4 - Info (286033): Parameter WIDTH set to 8 + Info (286033): Parameter WIDTH set to 10 Info (286033): Parameter POWER_UP_STATE set to DONT_CARE -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0" with the following parameter: + Info (276034): Inferred altshift_taps megafunction from the following design logic: "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|DFFNumerator_rtl_8" + Info (286033): Parameter NUMBER_OF_TAPS set to 1 + Info (286033): Parameter TAP_DISTANCE set to 3 + Info (286033): Parameter WIDTH set to 12 + Info (286033): Parameter POWER_UP_STATE set to DONT_CARE +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|altshift_taps:DFF_Num_Sign_rtl_0" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "10" - Info (12134): Parameter "WIDTH" = "5" + Info (12134): Parameter "WIDTH" = "7" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_bl21.tdf - Info (12023): Found entity 1: shift_taps_bl21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_fr91.tdf - Info (12023): Found entity 1: altsyncram_fr91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_7l21.tdf + Info (12023): Found entity 1: shift_taps_7l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_kr91.tdf + Info (12023): Found entity 1: altsyncram_kr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_8jf.tdf Info (12023): Found entity 1: cntr_8jf Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_c9c.tdf Info (12023): Found entity 1: cmpr_c9c -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_0" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "11" - Info (12134): Parameter "WIDTH" = "7" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_cl21.tdf - Info (12023): Found entity 1: shift_taps_cl21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_mr91.tdf - Info (12023): Found entity 1: altsyncram_mr91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_hm21.tdf + Info (12023): Found entity 1: shift_taps_hm21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_9u91.tdf + Info (12023): Found entity 1: altsyncram_9u91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_9jf.tdf Info (12023): Found entity 1: cntr_9jf -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_1" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "10" - Info (12134): Parameter "WIDTH" = "8" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_dl21.tdf - Info (12023): Found entity 1: shift_taps_dl21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_nr91.tdf - Info (12023): Found entity 1: altsyncram_nr91 -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3" with the following parameter: +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_gm21.tdf + Info (12023): Found entity 1: shift_taps_gm21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_7u91.tdf + Info (12023): Found entity 1: altsyncram_7u91 +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_2" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "9" - Info (12134): Parameter "WIDTH" = "9" + Info (12134): Parameter "WIDTH" = "12" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_0k21.tdf - Info (12023): Found entity 1: shift_taps_0k21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_7p91.tdf - Info (12023): Found entity 1: altsyncram_7p91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_bl21.tdf + Info (12023): Found entity 1: shift_taps_bl21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_rr91.tdf + Info (12023): Found entity 1: altsyncram_rr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_0if.tdf Info (12023): Found entity 1: cntr_0if -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_3" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "8" - Info (12134): Parameter "WIDTH" = "7" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_tj21.tdf - Info (12023): Found entity 1: shift_taps_tj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_vo91.tdf - Info (12023): Found entity 1: altsyncram_vo91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_9l21.tdf + Info (12023): Found entity 1: shift_taps_9l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_lr91.tdf + Info (12023): Found entity 1: altsyncram_lr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_uhf.tdf Info (12023): Found entity 1: cntr_uhf -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_4" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "7" - Info (12134): Parameter "WIDTH" = "8" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_uj21.tdf - Info (12023): Found entity 1: shift_taps_uj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_0p91.tdf - Info (12023): Found entity 1: altsyncram_0p91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_cl21.tdf + Info (12023): Found entity 1: shift_taps_cl21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_hr91.tdf + Info (12023): Found entity 1: altsyncram_hr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_thf.tdf Info (12023): Found entity 1: cntr_thf Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_b9c.tdf Info (12023): Found entity 1: cmpr_b9c -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_5" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "6" - Info (12134): Parameter "WIDTH" = "9" + Info (12134): Parameter "WIDTH" = "12" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_vj21.tdf - Info (12023): Found entity 1: shift_taps_vj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_1p91.tdf - Info (12023): Found entity 1: altsyncram_1p91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_dl21.tdf + Info (12023): Found entity 1: shift_taps_dl21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_mr91.tdf + Info (12023): Found entity 1: altsyncram_mr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_shf.tdf Info (12023): Found entity 1: cntr_shf -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_6" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "5" - Info (12134): Parameter "WIDTH" = "7" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_qj21.tdf - Info (12023): Found entity 1: shift_taps_qj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_po91.tdf - Info (12023): Found entity 1: altsyncram_po91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_4l21.tdf + Info (12023): Found entity 1: shift_taps_4l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_dr91.tdf + Info (12023): Found entity 1: altsyncram_dr91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_rhf.tdf Info (12023): Found entity 1: cntr_rhf -Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8" -Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8" with the following parameter: +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_7" with the following parameter: Info (12134): Parameter "NUMBER_OF_TAPS" = "1" Info (12134): Parameter "TAP_DISTANCE" = "4" - Info (12134): Parameter "WIDTH" = "8" + Info (12134): Parameter "WIDTH" = "10" Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" -Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_rj21.tdf - Info (12023): Found entity 1: shift_taps_rj21 -Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_no91.tdf - Info (12023): Found entity 1: altsyncram_no91 +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_3l21.tdf + Info (12023): Found entity 1: shift_taps_3l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_9r91.tdf + Info (12023): Found entity 1: altsyncram_9r91 Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_phf.tdf Info (12023): Found entity 1: cntr_phf +Info (12130): Elaborated megafunction instantiation "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8" +Info (12133): Instantiated megafunction "riscv_core:core|div_s:divs|lpm_divide:LPM_DIVIDE_component|lpm_divide_s4t:auto_generated|sign_div_unsign_2sh:divider|alt_u_div_5eg:divider|altshift_taps:DFFNumerator_rtl_8" with the following parameter: + Info (12134): Parameter "NUMBER_OF_TAPS" = "1" + Info (12134): Parameter "TAP_DISTANCE" = "3" + Info (12134): Parameter "WIDTH" = "12" + Info (12134): Parameter "POWER_UP_STATE" = "DONT_CARE" +Info (12021): Found 1 design units, including 1 entities, in source file db/shift_taps_5l21.tdf + Info (12023): Found entity 1: shift_taps_5l21 +Info (12021): Found 1 design units, including 1 entities, in source file db/altsyncram_br91.tdf + Info (12023): Found entity 1: altsyncram_br91 +Info (12021): Found 1 design units, including 1 entities, in source file db/cntr_ohf.tdf + Info (12023): Found entity 1: cntr_ohf +Info (12021): Found 1 design units, including 1 entities, in source file db/cmpr_a9c.tdf + Info (12023): Found entity 1: cmpr_a9c +Warning (12241): 1 hierarchies have connectivity warnings - see the Connectivity Checks report folder Warning (13034): The following nodes have both tri-state and non-tri-state drivers Warning (13035): Inserted always-enabled tri-state buffer between "GPIO[5]" and its non-tri-state driver. Warning (13039): The following bidir pins have no drivers @@ -3784,20 +3986,9 @@ Warning (13024): Output pins are stuck at VCC or GND Warning (13410): Pin "VGA_SYNC_N" is stuck at GND Warning (13410): Pin "VGA_VS" is stuck at GND Warning (18029): Output pin "LEDR[1]" driven by bidirectional pin "GPIO[7]" cannot be tri-stated -Info (17049): 10 registers lost all their fanouts during netlist optimizations. -Warning (20013): Ignored assignments for entity "clk100M" -- entity does not exist in design - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M -sip clk/clk100M.sip -library lib_clk100M was ignored -Warning (20013): Ignored assignments for entity "clk100M_0002" -- entity does not exist in design - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_NAME altera_pll -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_VERSION 13.1 -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored - Warning (20014): Assignment for entity set_global_assignment -name IP_TOOL_ENV mwpim -entity clk100M_0002 -qip clk/clk100M.qip -library clk100M was ignored +Info (17049): 16 registers lost all their fanouts during netlist optimizations. Info (16010): Generating hard_block partition "hard_block:auto_generated_inst" - Info (16011): Adding 0 node(s), including 0 DDIO, 0 PLL, 0 transceiver and 0 LCELL + Info (16011): Adding 5 node(s), including 0 DDIO, 1 PLL, 0 transceiver and 0 LCELL Warning (21074): Design contains 17 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "ADC_DOUT" Warning (15610): No output dependent on input pin "AUD_ADCDAT" @@ -3816,17 +4007,18 @@ Warning (21074): Design contains 17 input pin(s) that do not drive logic Warning (15610): No output dependent on input pin "TD_DATA[7]" Warning (15610): No output dependent on input pin "TD_HS" Warning (15610): No output dependent on input pin "TD_VS" -Info (21057): Implemented 5475 device resources after synthesis - the final resource count might be different +Info (21057): Implemented 5789 device resources after synthesis - the final resource count might be different Info (21058): Implemented 32 input pins Info (21059): Implemented 112 output pins Info (21060): Implemented 60 bidirectional pins - Info (21061): Implemented 5113 logic cells - Info (21064): Implemented 148 RAM segments + Info (21061): Implemented 5263 logic cells + Info (21064): Implemented 311 RAM segments + Info (21065): Implemented 1 PLLs Info (21062): Implemented 10 DSP elements -Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 252 warnings - Info: Peak virtual memory: 4881 megabytes - Info: Processing ended: Sun Aug 29 18:51:14 2021 - Info: Elapsed time: 00:00:16 - Info: Total CPU time (on all processors): 00:00:16 +Info: Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 216 warnings + Info: Peak virtual memory: 698 megabytes + Info: Processing ended: Mon Aug 30 18:40:06 2021 + Info: Elapsed time: 00:00:36 + Info: Total CPU time (on all processors): 00:00:35 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.map.summary b/examples/hdl4se_riscv/de1/de1_riscv.map.summary index 56fe373..f547b21 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.map.summary +++ b/examples/hdl4se_riscv/de1/de1_riscv.map.summary @@ -1,17 +1,17 @@ -Analysis & Synthesis Status : Successful - Sun Aug 29 18:51:14 2021 +Analysis & Synthesis Status : Successful - Mon Aug 30 18:40:06 2021 Quartus II 64-Bit Version : 13.1.0 Build 162 10/23/2013 SJ Full Version Revision Name : de1_riscv Top-level Entity Name : de1_riscv Family : Cyclone V Logic utilization (in ALMs) : N/A -Total registers : 1857 +Total registers : 1839 Total pins : 204 Total virtual pins : 0 -Total block memory bits : 83,465 +Total block memory bits : 1,067,744 Total DSP Blocks : 10 Total HSSI RX PCSs : 0 Total HSSI PMA RX Deserializers : 0 Total HSSI TX PCSs : 0 Total HSSI TX Channels : 0 -Total PLLs : 0 +Total PLLs : 1 Total DLLs : 0 diff --git a/examples/hdl4se_riscv/de1/de1_riscv.qsf b/examples/hdl4se_riscv/de1/de1_riscv.qsf index 4dc4371..e09cd08 100644 --- a/examples/hdl4se_riscv/de1/de1_riscv.qsf +++ b/examples/hdl4se_riscv/de1/de1_riscv.qsf @@ -511,4 +511,6 @@ set_global_assignment -name QIP_FILE clk/clk100M.qip set_global_assignment -name SIP_FILE clk/clk100M.sip set_global_assignment -name QIP_FILE regfile/regfile_2.qip set_global_assignment -name QIP_FILE uart/uart_fifo.qip +set_global_assignment -name QIP_FILE ram/ram16kB.qip +set_global_assignment -name QIP_FILE ram/ram128kB.qip set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top \ No newline at end of file diff --git a/examples/hdl4se_riscv/de1/de1_riscv.sof b/examples/hdl4se_riscv/de1/de1_riscv.sof index 3f76f7f124b132b72f7e25b83d8951e8026056f1..5335e766226521b056d88986d61cedfe7e82d153 100644 GIT binary patch delta 446815 zcmcG%2Y6J~7B-x7ruUijo->o)$bLn+hO2P#>j#|eQ{5A+A$VPSPfFktXQQMpkWNHyoIF7jC| z<$;=J?MCyh%QT|tNcCG1RY?gEguCPl=SS04sK5C{+BCIjpnBIE)eCI&T~w(#P_xV$ zaBj;HH4FMQN%d_y{#iQC=&3btW(2k5%ND{-mQqctW)Oe*P@wrAI%?Dnw5gsV(6^OC%L@^32%r?%tqBl*|;ctl1?wDr>NlNzQL?OOTW z8d|)qWTiBoPJN=yA^f-$kGE3%M|KCT*sagUjddG~HuW+!e2VXJkB>LjG;fmW?acHv zoa(_3wQpSUcCADh$k#mi;e4AyI&f`{nOdu6-o#{iMdn9$mv}aN_#!7?G-#u7*OlrI z=isR+c$QD#5qfompG*9>9V@?^iSHuFyI5nUJW95Z@|kupCin}J$41H(l9oogvJD&e zeE22P!5V1!+O@Ie;|Dc7@3A;DgsI?ENr7AS#TiH7&^iaTRc09If$U7{#``|IN0vk6 zK)ODD#o$1ktq$MeN5m9YHDK~sOQrQwvaar)QuFquVCB0de~?>-{m%rQT__}y}e zzRk)uk@Iw%QDE7^J6MOwbF|?%#Xxc1B!yS4Uc-ykq%ugXBybP#ow9vwy^gQ_TgQpI zUA_-A{D7DE0sDp0tMoY?|NLwD!WCiWdo_H#o4HI<$+nijuVX z1-ue#m?mch-ZT=g513WGfNwud3OL_dL*AB|X9eDHfw!l$A|7j=%+tcMHSKXFiIxie zCEBielr!rY$+<2~OJB@(D8fIl#4L-(XCn$UJgapZoo`(siKfSjx?!$WmaDP)2c#r& zr0bG4l@>Tx&#R)ip&Hh^o2L%>I0rmB9z{;RnJuu|Mwh zzdRw8lqz$+C~uZVGdo|(6EEzTN7rKrkMYn`Z3!0o=H1w&hV9OEqGeayM0K6E%3aSZ zQsvnIvG1CVz--28!DWKEehCKNkeozS2>65wM>wlV$Mrdkea4SNk_^N zO9nN6@5-dAAFEy9=|PFqc*Ighip?8EO+Oj>2;WhQNhamhs@tgMa7485pU{_TsB!4X z7;5@5!b#0FnjWI+Si~Z%_rtkL!>8T0h`JpW<&voRK^h*3`DQbZjEDNM^iHCdtMcka z-B*|1B9U*Y>=9Fk7;clO`FU3w)qFk1wJAr&({$@nGN}A^xs-gp%-tIN__B4Ry$AWv zSfi=!3PbfKvunCs-=^KRP#-@51~V%%%c3zr4>-e(UAo&S=-g+u*v^+eqlOcDV5*PC7&{22$f&&YNe); zibd31qg<-~c3bebqI~cG2iAG1>Fmtu=)yqp|YI-voC0IT>vcmd#mCRe)!1dZ70KiIwK{%t>Qd zd{_M8X^m@`g#I{9f$+W(ISyp$c<}FL)|6(ddpPU6&Ij~xgCi1HOWetCdstQ63c>uV z;ir)ApSo<}JleYzch&ceC*Sq9zErba9!cIgp@r1E)tW@k1>rqJldO5gMYAX6S?Hcy zy(ZCmN7e_b-~`FBRF?e0eO@jASH>D+bv%m&kd}jdaGuIP&_SUevDj!weCQT>J;Dvj zrD~wlWUB2xJ(h{z2MX6Be4*JcS+t$4EWzD9p(ACw>qxAHUY{kIs5vPsF{%Qn6t<2G)`;G@EuXMA5{_p?6`5~8{VA(AM*D)cF=mQk^ao3FiemLwV< zHq{u!`Gv;5G8GNf+r)DVZB+)zNyV2LBZM+IG`Tx9O&B_YVtzMU=uC;`CJNtV3!|!O zeOek`FvbgSASxNszItGj@cV5$yv!oEVTmFQNmNrmS`~|)0=)FJq+Z|jigAg`M|xO> z?)g~F=151urnA|@RQ7yJ7B$U1pzGScm# z3DG>(K3PM~u=t2gW1|1A6V4t}aKpyQlAg*R35SZEkRYgWjHDC38KxIaY+tqFoh!rQ zWKnj{5In{CjD4+@Imbw#gd)6e_Jve5?zf-RiJE2h^)Au+LB=F?aaZB|!zQT`<|h%) zz}3`rH0L8~t{ZF-RsSgSN`&7PQ1e&`^Zi{LYNts@V968Au_p2l)>T8y@)bk8Xi71s zK&f4?80pv3IoE<&Hl#XcNwlmv?hO{I4f??P2DgAAT;5?;!Nqu34=qHrA-cQprQ2Ov zYVE80fvx3pqVA{*=BCBe9TW0SGT#DC)8BDilKkZUWy&xCv(raMKV6xl-aSntT1$2N z`P;oYj(4EEDsE10Am`t6ob-?Mm@sO*N7o}TZdZgH&d!M7NFLa3G6>r+#S*o=W=Rm` zCFWjsp28V^+rc6~;*U=_F45xe<4rVlkIpAf`ZGqU9I*uKzOCe449zF|qecFZ?!9Dc z^-B)Q`!dW-0~TAXLWzl~gcZn_@qnvx4^#Qg<{!y>hZElCmEFgx&!@JVz>aLZT^f_==i?6V^FzLf=84u)QyqoLy?m~%98Fc@>*RXVFC8$7(9PDO6PDX z9HMx5ur5rJ0~NPsQ_CY7Exqtnyn!xX1v>8;@vwIUgdqc(?y5+Z?1!D1Cx*j@A*3T0dOh3=c`x76& zsk(9VSi5d#wUQWQL&DU&v}}r$DcUADAQ?VOnnToFJtGO?UY{5(TJCp15v!gdn7cdE z@(N!6$^7;tf2`cJta!ad*Z-21L6cW&tyKJ_F@mM~8uD(4%;f8=)cjsiB-J!aHfjoF zlt~J0c&{jvT3)oIi}L$29>JvUD4r>0kh{M7VCcpA$HS9IdBW_Ymap|XQMEe!ZxW4s zHzr&()%A*#>B~IhESFJ6u#Ja&H!G z$IV)(uh(;55>*?DFPEtGXUPVAP`@MQK{bM!A*w{bgX-E`&r2|xDYJs`v?k#T)Bh%m zm_9Cx>N!871}Rk9CkmeFZPPEI#_vtj$a^9;SslivmBZjf_}fe#f}dv=&Ze+uRKJ8n zleTn4C|~J(vgmfyF^pNVHL5fmj#4oM_u|p+m%)xLi+jb1)@L2LZ0B6xnSa(|2@!QM z38>|H$xge%^oOBFN4I-Mo-@ah}{YX3|uqTYL<8b42#)9B`3bru?K zk4WaZ)T^S>ja`5dyu_uzVw;XEPkdaRCDRYGq?Q90G?u?0KTJUsx z2vBD~q`PA(nHmlTbTm`1?E}_Yy+Z32PH(q5IQna(WZ|2sA7bVZD_YBBKgxVu$cpJ3 zd^M&}>WW4F-$YkK;xUM=zZHfFWx4(x4-;m>|H(tT0<0Yd7RR*>3-iuyTA0T%=(duX zYQzZNv8bLNdo?A2szOVw^8Z&LMF^xUFk>73>3q@s|32usjCsx#my|17T!jdE zTZa#f7e1#vS)$KGmYtQcBK&#s-3iouwVWktvIoH*YaI-|D&SJ?)3A8`8?E?1L`+># z5A<}C*^(lZ&k8KEsJhy4+)g73<1FHvo8-IWm?<9mPjSw9gHWEb?srl1`jKYw;AR6f zNAuhAAWIO!d3uZ}%h z!U3XcW_BUL9ydPS?Zk42`MgJn_Vl;UloW|-|Y z4}!<7`L<0OjLg#DooS-hhCXGYHEtlHGj_8@+dSi|M&ZmGCNwZZ)k}dLWR3rs~iF(eiRU+{ZSj zoG6@IY?FaJ{asUO6m6qz2N0V7by#zI9OG6GBCJsZn3f&ce$|jnVqo(u<4KQI@B%G_ znE%BU3Z}Y~**lak{Y(yQ{47&c^|Jp4ljHIvHykl20p->d2!8fJQ7-$2L7Q)vb<{6W zu~OB($uNm8YjdeBMHeplXzK#gAkoq@+9i?WmkcQJ(OR>pdtWn4OCR)}u$IYi6O&;c z*wQMj{}>7nkVT6SlQoI5A@)6CU2zp5u8N`irsbppzEwk0Wrp^MfpR|0bW-aq`+V^3 z*2DPIy^cP6 z&2FYIY)Np%-qhB5gG=zI+ice$tb;ZF?_u5AFwvB0KBA$z_MBX*i_0w%{=?Is#!mtN ziMIBf>Mj`k8gSDeqc+wW2z>t(t7RBj5d61@&;i4`g zJQnsct8xMZL(`#G7V6GW+Jo9mQP*G&$TaY(+#?*c%>ip29(c*;|N9_Y`p4WZgHs-- z4~snK{&R3s8OdsG9K!kDI-_`~(YzBvKT)6XZ{rMsIHSMJVZ{&Bx~CvPbj1*a7^0F9 z8YelCkRkt_mz042>z9>(1K)FNoi>`P?k(<3-jBP*Q){NH_`k##g!n>{lugf>cm8it z#&Q|YskqTTfP;;020Yts(Esg!i8&%*lxwdAQsxhrbE)bD$0by^EpeaN*hVp4P2wy-KN*jk!XwVYvjLYq+Ha(& z`@wunkLmQ~VW=V6FacN#`0UqnFJ%z(_cWt;<(YnY9dUy|+;FoF$~6DP@EVREHtJGf z`(hhlls`mBE{Xb5?+xnniV?S594+jY18K){SZV#6Sm9rE+Fyo@*K37hELtofAW(Fx z8e@S^gm?Q$IKOuIv}~m#S%fZ!>NwnD*ErhFp=N^xr2tO5< z!udZ%1G~lM)A#plGkIn|8M3J9Q(qq3)rvd2!bGb1%>gI(KluK)S=6*fLutVws?)`! zk?)3aqp0Waa%#h|Y!@G-QTeLSB!Kw+5j$L*_po<>Qr>kIs6GS$cWXr2a!ZX$(9!TO zCiXu=nvqHxV-cg7x3{Kw$@>vf31hE|ODFHumrrI;X0QZ*wSJPm7!%u8G7cFX4B<7c;161Sv?^bdPW}@PhwPUF(tWA?RlcZelxie8 z_*;gV4u~8VwaiV*CTF@X@h|c>HVsU52DOwGO%iqM^tWT=Gslc&qp#4~?ylbaaShva zgxZ`=;^5#AE&SG;N-gz~jra2y;L(TJ3kRm;* zHS$72h^}-SC?P)A9Pl4aV^AiI5ljad9ZpSF8g@W9&+Z)PW2!JVaY}=B8_a5Q*YvBfe4}Iu>M1qSnJYE!{RNJDZwQ^%2yRYkvT= zoGc0L0;ds~lu(08FO`%71B16`sFVcyrBZk@Qc;!yQmz?{xNx#_0Qqxrp-Mi}W3sPA z=2Gi)eI?!4AX~+*sHy~Lk@t*xYKe~5(Km%z9;#a-WdQct@Qw$vtfQKv77I1C<)o{h zbdw~PsJTqPS0>|1Lxx5UagzHg{V>TN*zj2jD4?aeR@oUCvffQK6-ioAyG_EioC*-2 zQMe2}kV381CJW7;lZNEfz4|XBe4UXtj)E`$IXWySBxy8ErFq$5^xcz_d>UuRX-Px% zqqO02$44!$lIhgkqA8uZJS0$|01wi~Z^gR7UX^^1Os`eOhw+gjEf%gp(Yw?DI+2&_ zJQW{{O{E^wVu0>#lCt1B`HscH9j1!jayWmfNO@E{U}`n9{?!uu$(oFKi4N~ic2oa8 z38ui^t499eleO!UxalDz#i?bpY!*+ys-G*-&u*|D*x&U;%M5Ve^srej;eXH>{nxAE zr{>?|Jmfo)q@z_^rS6?eL0F1JYRa0}LwMhkev&mApqEOxc2K1LDt#fAL?!czjYS zZk8uyfU=NzEGxey^IJXp{ZFvyJ;KT$f>DI|64TFZQ?RuBPkmACQ8R@15si*+9WYf@ zD03xvEw!g(-bT?wDW;gh!Zb?T=;JwEL}s(?t2NmUGGV6j3%f^vez+WTY706MkbU6MQ=!MOS32D{DANj{KSW?ag_P>+PmfRlHYd)>4g}A(7<|FuZB5G*w;Ym&Ynb!G=!%bHr?8{?CC2ry z&=8|*?_v22oR8Ac%?F*s=~z;H8hu>p@yb4h+&y|EQ`G@yPa64w&cV4y1s1dTh~*YQ za96;bzH`iSy$tIzr=_5wGx7d+nY!-*e{MhKjBeOf(nr--H1&L}p7wd7T(s{kxFh^g zy!DMfN1`{5Lu)vxex73hwX8+{+y7<6NAlf-1BY5tY z*&v|$?N|TkKZUdTOJ&*IA#QsIMOFp{&oxtu2p;8sT2xJdZ4{93@Y%ta3GbEG1SA*2 zA$%?0#2V=4(8N5j5eR(oDO>R4-s^*&!R4G0hFJ!*)I`X;kDwtL-O{P<;}I3O?;K}( z8sj-m`XDym67aqeM{UC+ZxKpP+$=eu+&*8{29+G$@@RsA-mHvwaJ=df!#y+ZP+6$D zD~%86&grICpD`%(!gIMgnvq&KTKcD|;po7MM4);P$qxEOic4jT#U&cYTanfs;f)Oy zRT=}FI`I9CvbIAf-d%q3Z@x^?;^cr5k zvr;ovr#L)}ifD=Y$2l5`q?O^eH5L2FFqf za~-0V@_rGb$&|W<1E6GxmxVsro|D3geynsy&@#2toR2gS)H*ue&D7^IlbK_#VNd)V z>3&Id2hEDr7iT2fUV92DS~->F%>7!DA}cm{u(#9v3%p&o^*&ZFW$ze92cwjBb+ ztIbG&+Io+?sO%)j23Ttk`*%9(k!2_YpD1_7COcGW1T~Q#$Lttp|B)l^hP33#8$+ET z{!Wb*%|8R0H{1|I6UNJKcw=x?noz6ccbXB})EPt2F?EisbcxE{qCecUJo1HE99s>O#tk z$u-iJW=}kOA;*v)I1SXsM$hJ2lBi9V57N8K)BcJ((q#YF{4D5aW{xl_zBC#3F%ox+ zo)eiR8rv;TN%Z2!S=r2ohk|SZ>ph-E*C%JwV}V2~xHXBHJ~OQwbI3+W*mOi%>xRhf zwC&NP4D#x&qXIAc(}2bq?Bw36qyXj*GD`CzYYi7kO_ZSh92xp-Y8=-43yj5m+@ShM z22vdIa9UqyfMYWt)^_2ma{GWhQ8iqC1~CC(djf2KfHJ1&J)nz@bT8`?43f$(3KgG- zEu*Q=fk_MBlnO9XZ@%1}e~`;C|E(}O`feT;>PvWbE`DR_3*!TYbpX%&asKox)P`5{ zE-ZO|uK~bxQGP|y=n^#5mk+$f1fpu++>4&YK9emf_YokSDUI}@wkjhCf>Cf$)^3M|+h%Ur>{o*;ovudppR zLr0IMrP1=gxkk}D*P?;|R@`93U|FDDbZSFFx_~L)FVS<$ax$5tj&kkFJX(^Hh^Rat zk(T!d#Qs$}QwrZXRjuiL8bWNRgFnS2QG4Z}15z#Y)L%()s^sHuA{5Lig#QI!tms$` zWsU1b<*R#TP}wTEkZOD~N|LWp9_9+GFeo4tN6e193uJsQ*F;Z$nv2{kqZ&245k#{j zSADM6Qo9rv)5!(_sN`)WSt=WFh6`nJD82BgzMZ*i?Wy*gbR=y#XD~$Tx_QQN$Uo@%N-w-O^tJ)Kw7~{^64sO@&w(JmTaZ7d#!H=>#KY(TNi2A+gzH`iF^+51Wt#R2@FB@Q8y{zCz_08Ie zj1$yyUDfS){Dm|gp=7Z@HY!w`XCDeVUR|Znpw^gh#(mC-V$Q~mr68wSPE3%tXK#1 zhx<)n=P+=JluU=;FDn-14;ub~g15L{wVY0_NRLmUCq78B^9_(K1`pr{=9n-CojfNS z=$FS6qJRVakrOnU&goP8SA}=|_ma<3tX)*%%m!4n%qg#Ps>9t!P73^d zT4jp@YQ4pyrNaGrmvb@Wr7{cYow!Gt09BjR_+Spt7I3l(KYaOqS^wbF2vd85rEx8= zk+U6ZjcJWm6KcN>`fSrLuCp?=Z%i@=rra8HVI~F;VwP*zJ1XNfe@@^C89~^*8UH<#{G$wap?H*W0AGI{wOWm5RX~y_+?huPpMHL0 zj)xi_iqg@s)j2K!8GcP=v#$X+B&zE+SHeQ2(!sweMv)N~dZ&iMnk6KW!=t8YSSFVT zNe8hg?5v~J(ZtVULj%f_C_(?RdI8-vD&0!CpCp+?lgGGF8&sM-Y<&V;L;I>s=RVD} z&_neIBnIYcVwne1>B}tRa1LPONwE&j=`5x_E;HMuiXQoz<|p1y7iIoGjjvL;`BM8(DohaR+>`@{i=+~ zM&9Ex<7FCJzb7k{Y91Y#N6U8PA~&*KhS&14b+GW2X-+eHZq-fpL21JLd8q88Og+sj z7`PGzaW*8p2e}c9VZpc&Wg)B$19rs_zT!`26m8mP%EDMPC&RL+F`{$vkN~IQ5%!F= z9e@iw$z-CF_l2kOD8s<%9r3iEYbs)?Nf@))TDb`;34ictL0=sr7WfWGbRrl{t?tPl z7}c$n`caK3br;oqR_zgOI4)`Tr#1$U=M}qGU2~+eR$R5HXFs>0qwD_MDr=Qk8+ZYx1G!i89!8zoRvi$aS#>2$0{QE6hiDE_9! z-=t>?q>3)ru<}s>`dk6>0F8yoeFPDQy25RPwMK~I-usZY@g-94Oq zl-|(dodmUXKy05d zI@KfLJZxOcHf`^DTYpMxOigA9L;>S=qmMq?=Y}lGrsqK#typ%%He4dh1JEZ`H@Qv1 zt2I?fV3!TMG=pJ{^NUTJgC5bCOLWPiD#es3(UHAbVdOV!H&WGuF?wpAGZ87RW`~Mo z1j^T=gW#%69epw>ITQLN6M48~HQWibd>qYWST2QctX(BZ_{~hN)>*=arxi&7@8z8d{gne?cRp7gO4y-6MTaMKi`(#aA4?U(e_&?fVWRdhuCP5q(Q;u zHe*K+vh-cePFm|#JmeiT#7X6{3HS<9#fL(_hWGWpW?A6fV+yUW(~;0YD@soG))xvLo-C>UK!Gj?cQw0&nTg6RFz5T_2bYt*!94wr!GH5_`G z>7NBAz&%5?mz#WQq>LNV*5brudMigeo?7Ju4+H;x{Nop#2CA7Ahf=MNH1HkqEqSIO z5LJ&t4@?9o;fZPuXt9BqFARIJzM zkD#I}Q&LwwuN_V6HG=^+JYjSMQr}2t$@>gfzn*KQhxf-R7Yg3`gXj2`8UPOT!m;e6 zhL5!9U-k4|ZPv_kpJCXtHL3n^WT5GUjw`y6nY&ujTm0>3+S>v22EW9kYtwpG!zIe@ zE}9k7Cla0N5$Dlc7!ELHT^Mybmm z2OqEF#R)YZpo{h`?d^P@pQv_SMqDRXAgRP@Ue1#k>BQOb@7lm#*NnKKdmgTBUd#>2 zSyp5^+t0Np;f#MV)rOfl$BtOGvyzHiOS-YYnM&JoK(g8Uq4Xi+6*f7(1M?~ zB~fi`Y8+L?>FhQLO#A-!bGnPp*O`V*5@@yR&6#fxgc&FucQ9Wt)4jW-9~TVb`@1&z z?N0A|IX#s{_()7%<8b^6Iz+n-Xwo z>p+H_qEub*(W@Gl`Q$h4?L6#e^$Qf-=7#O~lfIv+vYKR|)mMk-a>S*M7%oODjKW=( z@JLKQ#P50BJB9u2U#a*jB@0+mb9?)tc6NA9sAs6W%{GHt_95e)l^2capqXsR#fcmJ zs-CEUaZ-M7Qzl(ms!4}MC{z39yi=R^PsWY>?L7E6=2DmW*Vo(I2X>ugj@7|ccv+jO zR%Qk>Z}Vl}ZnGoyQ*!+kvCsO1N^eJ3wLq2*)lF_Bhdh|?uMUtz+~+UV3f zNpY$n0$bL#x3_O$iR@rk2&|TFx+m63Z={rs;8s8n-)12vzRXlQKQx!S`Jx-X4ed?$ z_V)lBzo7Ui_9OcsHX_qFYE3qm|K{HJ3X|e|pmtRxU6YbvrK#~bW^-$M`T{yPxrgo~7Z%rYn%NC&(^N8K0UJ)FLNAYwA*bFF zL*4;pN#;B5tU1^vhw)%V3$=b0t23XyrM*2JcMMJu6>iH=^e}t7$w1GKhxx4QK0S-h zFD*voaB&~#C`5+5pTdl)u=z4-r|V05#!=mL`$U$*yYkD<1&oF=fbm*+!|#-+hCE9)B}1=IOdH8mj)J?}YDtX5VhhLdkv zwDqDdjpkk^IP52m(g%2bHU*<*GG zhdLrBnVp?`?9m-&m*&0i>x&g~P0&VYmiUgS_eMzQ>du)}6+l@dyF}GD+VKp7c0HL> z;;J=?w#9j9N_3ow9tkAcY0wi6YHfvJ7yP&;}eceEpg9{y9T+RWsnU2S=3DD5{PiLLTx zM|!M;oNJ({UU)}Rp?)i~>j1O=u4}$Jei6GKh5_BetE93o%GMdP!(57jHR5O#o*}L6 zoGM`Rk;nv}-I#6U@@g%;RuGp(t&2@Y=`zYM1Wwa9DBeZRn}=mmWP=@jBOf8qEN^U{ zAukw@hXgq|l0_QTjlXN0mb$ZtIz`p>xD|#ykf1|YR)162hf<*GzzQr8Lr{S^|A=MH zJ{9qR4`w~mD$D+(;bYIUmi?8LuUaG;Ve$LhPqw$SxlqqWQRS2r7rSSlg6b0@xh_O| zod%v^kjwEmKE9j;N6CdTd(LOSsatqVfBZ! zU7(!mF~!iUQ)6AiJU<7#0VrXR2LbCH_A&Ig%}NT7QB|#b@6`^M!<|Slr8P`6IO%~^ z7I^KYLjVc)kOP1vgXcLb$2u4=)hS zE495)V@j?$_Wo>fxKNIHTxv(4J)TgzT=3=m{3_nElNmKd!?~L)wELoD{WuCa&<^TPk@} zA8{ypHzKmLvX05|M6!D|KWnYy9fP#3m>WK zMU@?67=MRzKHp))126E^>bJ-oqwQO-CU5;DZM8$Q1ac;0H4cx#N|bLM?LtR_sXsNX z4m(OsrASes-3My)+x!8t@&^O5&Yl7dfB0Q(9?EOOD(TyqNmiPFPpo6r>{uNF5$o-@ zTpoqz2L1IoIL|o_Yk0_c&_)fiRI48k_SIq=iX4XA{Z zw8ufx&`vhZ%3C`DklI#K#2n&*>$ONlz^~~PxhmDT8GU?LE^yiVXnrw0`i8?w-&ZAt zaj=7a;=t5$8&=y>ee%tYIE-ZtT&#~!)P`OBR4bo5)P9axu0!US$)U{m9x$Ulxn@sT zDYYy#mC=$}*;tq`^VM|4uSqGi%$LqJY{*;->A*q5NjX1!V+1QDxWjOHjLlC|{Fyn)_^m&+9R|BX36%nPV4 z)?(Hakz6~#;$><4-_UN^i?ZWou){vw@#xHnf{=7OiiFA)O8GPilDEJ|obu0d61L znV82BEjRD*RZzpPGFulm3a4B;Y{D>kdY)fFlxIy55k6?1 zKNKus3Pyn3+7Us=`=gPz6c_^6Zfyd{Iw#WRbzaP=xY`<6{XAer)^A^`7G+!5MEs(n z39?y}+AEKm-#6`|mlwICsAi%gmV94^CDE^cmzFc>+z_%j{0rmt%)x=ee2WRs8Dtv4 zq66G^<7J`rWPTc7`gi`#P;`~3y^d3Gt(RF_A;no}CgW|>NAiXMl|eA_zEj#a=-%iY zI}PY%F2ZIX=>j$&M`ESFrh7M(?HduzZq50cd2#K9ZO~L%>B)ChReBXG#$;^ zHS90{IvzeL?^ymxjnUnu`l}}Q z7$h~bZjX==th3w)Uq1DJPE_qmJZ&9q3iDt~f;Y97)6(1AAl9R%P*J``J5)kirw(LL z*g2X&C*MVnka8UW9MDP4Pyi?1850^TH^L<~kpG2Up3wDF_;3n}A)kPXNSL2zAaU!E z#=x@s(wOgNiPnSmrzN;E)p~ObH8pU6Ph#$o$#+ILV%q4N_A zq0*gv7C6S8_6%map6n^32nNH5>85pdXhzJgif4!_?iIcgE?rCE2Wlz#3u^*2_ne)k z0u*m~!vD-__>GgKYb1|G0kXH@Y5U7GskEDko<9(35UpR?qa?bbOt(2p#t?F#W3pF%S4caSW^)C=Y^Kp=h|f;*K_0)Um6__k5)DQA0(gl=T7BG@Y?DHK zJY2+sP!W{>9$M%8zninbrseO#(K~rRgu_SG{|qajxAtbI(s%xhFz%cLqK>8vO4tVT zvE2Q5By3xWpH|%x%uy&tzD*Y{0lh2Geg?+C_nWe@;LqL`E=&S{d z<+HQ-?GnCd2Qy!H%%mG1Ah5}Ip&k2Z&UD(B1P7wiD3e9q>F81zhPC#~ zSvS(#-+Ley+u_o|tXU=7BUKLV{! zl6P0=Jlgw}8&w=%W!kym2L)^hMVp4^Q;nxbq3~a4E=BpttYnmhLDgCQn6P2bSlvz0 z34bv^6dNFcEs^}2IYzB0f`LY_>p9jtX|$rjTtayd=GZm95K}NQ)%*;i7G!h=B&CSQ zhvphk7a==LYT?Hb8+gssFGIo(F^S=WP$gV#K1;8J>r#2IBE%qeoq?X%1GRpBiSALX z=$skFWuknLqgzLM?ss9u7Ma^hvau4_OK7vKkafP*N+U)Yk=8>K2vhPl?3YriyPd9@ z?6%S5hW)9VtcJh4|5jm`F9Gr(Vl zl|l(=#o+=+3@Sr9l7J(baId;k$Qvj1V0Q8FtS*qft-luL5G`m8eH9TUy1F`KAQFe1*YXdbQn&bodRg%?1Q;#am8V1hMwJPY1wmmsPOn2eTHupCwi#+ zw3saJ7t55<=EpgvFo+ohwd2Jq&EJuHS~6g2fv6kicnQrZC*l-o5UbKkHQEc5EDjHz z)QLF{X^}JfLk{9e&K{-E;IN)!_{bf}I>8@&1P+6d+6BN$%~&~iS>fFsmC$ojc|7>iWJP-lmuBTp)gCf?>)WvA@{`iz1UO3ASjtypap7{Vf3}DcT)FDOQK*=Fp zP1@=2H>$%6cFkRA>@$(?ctWyhnrCT4Za>UC`(NZE9L|fUOGfzCul;F3p3qCp;p1k1 zAG|*b=}_4j*0Z8vwZj@{4g_;m^vtixX8QgYDEoW%Bia9N)tF6pdl3 zPh*cJJn?e~YC>>>IaO`xjUj73oqd#P5>JaCP0&%=YXC~~GW~Q_+W9{raATBtJ zu32aZj!~+MH{?bTp(nc{K_fYdSN}uEr@Oy76Se%qA2~no47q;<8U+U6 z+_*GF*Pl+VM0Z%Xx#9N-=PJiMnX_oE6}QG6WBlOcX*#?-HUhBm;U&Gss4dedq%u=e zSiDyKgdJV&qGeV1KH2of<%fRaAaODOB&rKIW+7N6H!LfTp|D3CNA&&)Kktt`rwXCG z*a`f@{2co=2AZw3jg<9bI%m=9n4DR2y4ayZA>(Y>}|s1<0*f87m& zu-n`_fO%?H_UjeYy=$KcA9F#&swsgUaKp%b@1XKs}>N@EwWAIiPabJ78*KD9kY z(KtJ>(;((=?Wy5%DplV_hLsk|W1 zB4PD-_p^Z=_W_IgKxZd;jW?8f$*;((C`&WCLQ(B{_J z^E684a4cMxbFk{c->7zHT)e1=t@uS^9$(FX%tiYn5Vq8F>z`bc^-@4pz;Sp^@zAt9 zYT2YsldQC%Z$?k{VY1mDX}n}y*M0_~=K0(rH34q%d0Q6)sKyJOtIK1NW#FdfYp%oQ zZ5R3tV5+x{hG1_ER}%$5k1l+Kl$5ZBz>YE4<*bRkTf+yTdt6~V%P%=}XZy*YR0dxC zXkrXa`(fxB0BWSyE)!H~U~vt2zMeH1r#Sg1Rbo07x!T@%wUG zI5$09fZcGUQT>29&jHz0Qw<+))S)u<_1xTs7aaMjpCfF|885->c3CUvm-|yv>)Z5J zDJ1=pE$KX;_ANnTRU7q;Or-^Z2)HSnIP#l;=Rp&xu9w;-^XKhC2s_mk(}`^vR=u;P z^z5RaY4yFy3Gh_i{~SBm>AT=egs=+bcye$uVx8?4C(Q~)KkEgCS)^_-0OveL_%mWn z2(w-@uV5geg3h#0RiHMlX64O+TMqrmBWA6qst_{`TO^O5&`;41f)U$gXSvu=W=Cz^ z1&IBgu3br($Lt`d9|KWBB*eS-{38(&!C{@A#)U=r(Ep~UF7O`gA~c)1Ulce8HXo#; zk7`^DQ8U^B^MuH+cZ^e1Eig69_=wuKof-q$y0luo-}&ChKYpPuqU1n2VN6Gxqq~y} zP0VO3R6&@iZq-QGs_{dY(#36~^d)CT9t++Bki;(&$B8I@EULds=fgz12dZdvmFV-vJlvUABqw{1CEeATvD!(PDQ+*nC5 z4tI(cni+BAQA_N~+kswRtYK!#`oU-aZu$OQ)-DOO)R*qX^Rd<1REL=wUkz3J(AA>1 zi|Sw}bgG{9G~s*fmj3=1<{Z86$^Ivg$1wdmoFH(-P}==wsu8+v!^64`E=ZnHULa$t z(tF(tMC)6+jk2z0>e(}gx>mb1Xsu2!wil^gq+~u3GnRGgsxHM+M!iR4m?#24$K!Y1 z0Vy#o>U|oK!TQ}35ZUf9*cyuUvqj5W;g_Qy)Sx#@^xhMQSfNnLAeO}y60lCF6crN< zuBh9|RMk5VQPoLk8tkv3R~JqqDNBE?Y=r-EzpfAk*5Z^^e*iJ__hyzez>@47jN{ZC z)L~>4Kt`Msc>AZiN=s;0TsC}lr)3lzo>MOXdgand#%neXU#p^zBCsb06*Gtlr_sKT z<1<*pSHJ|J#8<8I8Npvwvs;6f9PFz3pLWV&DMj{2g%#D^N>t&j=sa^l7(*%QH1NVs zNo;;ghs{7XmBl7QSyW>Bg(N=Bfw#2rvMAxaUp}Ie{~R5ONJ^#U@1x$xUlcJEmKZaF zMtWLyN~2Jggo;(B{-$C*dUHy4+EvN1(?5Pq4)#>4!sB+nCClZtRM9vZjRrq;FAuyF zNd61G41HJI9v{Q1N!_Sgl^3D5mu6oiBx>gu)?grqwvn{uF;1azA_Dp^g;%47y*413 zu*prVZ%2}IO7CRwLB28o-ANx~7eB;^K<~grY>m+&5spxkWkq1i`kz!y^CI6pr{Shz z#smBDZBbouJRXT1?nP~$QGAcU1$YX!5;{j?l|*-^YGjylZ>tWiuK|hMuk+`nLH?l? zp)nzy!55B0LdZKWEm(ponw)x4z zaV%YE^x^90yg2Dc{P__=Ba-@uF2sF3PxzSAO8JMpT}cK6)=HBs=$yx2*gz?=B^=p}`_Q53|E*_VHP)gV zKGgK4<^z2*gI;IjE@vVY{5=B+NvCY(^rn;j=a=;H>_Q}4e4T)`p4>&)2&!$Iq-PyD z!7`M#K9LsQ@UycB6f9n4@nX)^m8{E$YYp6`ul9+~>dYf@VY7*P-=yExS;yT?Rze8Q z6=xRnq^dyulfbzb^hs51{-r|iM3{)}eoldVF6AXi>`sVg|aP8P6hV{bD%+A52B=6TING9{waI_hxiLAlA32_q?#dg z!3&(C;sGa&!(G*uP}=VDVx#7$s#^MRQZfo}CyWE|_lNztzLEf8rSU#34tl9)Hx&Bc z30lEf!&XY&Xl{0v2fiUg(GJl%)Y2brX~%{#%W3^CBxM@+$w@1}dmCgtJi(O9N(ybF zM&Qy|P(60`Cfv^}(H@V21Osg>UWXyw{e=1JZyYZ`0b&(XwyKht5SH%?}E zlmoTA3t!WJw*@tk@PB#ZENr6XqUd->GNT3$%L(D2hK~uNzCgJUKeEr*j6!X?wrKo_ z)T>{C;XzMIYLKU^hM{dy+uIdGHKWjXST{3iDeqdtDY#|KwG;Vm9xq?A>Z9>mgOLXX za}xcTc|H85=1o|A4rLq}1@0w7u<7fQxLfn$ZtL(1tw>o`l?uIhF-wLV~3NUak`%%e*xvG>Os_G1H^)&xl%dQK1dPS+S(@}-O?1LA=g3j%ZDmIiBO5X;5%ns?Q z%6tzZDmk37$}{SD4HEys#)y;KoSS(6^%GoJpsecVcJjJx1+&hHK#|{u^4N%r>ctsR z#7d@c^%^4S)#*MC#}(?=C&7$ezL00_Jz)n+3UV)tyDj6(+>jLavZ~!QdUA3~I2$I6 zf?r^LEGe5cY$xW1cH$z8*9!7GAE&3U#yPP&ey$^q2j`Y#QU7?RkUB3w{rZM(?_nbt z70f^yF$_C;1c8l?ZO1aH!Um0h^>qn-HKC|UQ1ODUqCZsuz@yv23ZR4yq?xb@SrcYo z-B|RN%7HM=e&{Q_IQveE4#Z``*i~OvYGC7S)9^Ir6UT+C^c z8}-}FuUvM^n?LYPg0;XZ<82us>&>5RNm|f);fwg-#)LJS_1;catb0TgM~yk?OzPxE z0e*Z^qWg9k)csOaM%-h*^2Fyq4#b^M+grOZ+!O8tp%uP!$eZmq{ni)%4_5u6_^yLX zxk{k+8fhitcRF$FL$S#!@LR=Vt#CP=?~VouAcOs=o;+$t%?! zY4idkV=+XNWK%*y>fS>{hF^xXBW2D7E~q8)^JlznJpJ{SZ}>p{j;c0SB#BW?kyj%U z+g9=c^<0vYto8djd;HO~JD|kS(mECG_dTGPI`ovWgZqJC{um0~=1PT?Z|GlVuDSK& z_S3vvYMD|DCES={h~!79yavdqv2Xj)t(_OZ9zoU7OS*|(YWe_GLMVdFqC>3~_QF*q z*D3`Z+t#vfO#*f(hm61a_j5CGJCsMNr6bOeV;?{9#Vvg1JfF$qEWK(p#;ZLOqUo`s zG5m*gw$9ObOyB;rsH+MxPTiW&>X-eWCq1^GOL5dPNYv?GSr8HCgh=x^@#;`}s>Qj2 zumx&5b6XkI?`Hk2%(c3pP6MY!z;oEzEIk?r(NeI0{PW;lT30VVe(s`)t1S5ZWVgX| z)0JGTb$|9y!H3b=c|{`-Jl? zg0A9U?QqYgc#Mo5Ik2V2{J@U3?>=XWoMWD3%WSv7SNZLYyzh?k=^#5QTDO(xt%&P* zlQ<*mOhhiaOzb=Z|57x+Yk^mShuY}oiug4cc(Qrn(JiOB7OgD_Z534Wc21=E+yT>` z;3;N`q3mUNg623EKf(SC9VS^VRH@~UmoVCx*va9RPW=u!Xzdn*KW#WkS`5u2tKIc z=-E3eXNvNjDPE);xlyt0^^%){VMg71nNNWSrGt{f+NbH})2Fv|GCN?GZ3T8d-}b?K zEnOwm5WquHHOppnC`x5tyA*!|>@&+T3Dgk0#3m`3K035G785}QBGxj;Jmqv~ zX@dG$pw8CEZ3A!r)h>Aa2NDt__FJ0Aoom0LQ^3{YN$l-7OY1cI&wT$)pU!Wh*uT=VUfJX}Z@*+jOKWWpC0_kWCjuMJdP-6)7SP z9Ha<>devg3kD_8x#EBFKaRDuYdw^H;Iw^{{1+D`Z^!I)~CrMlNeqaB8?xkkuoX>gI z=Na!e+WP*^QLW#F2?J=`I;{awUp`}a(|PqhjMztkgc3y1Z58FBN|+k4RZ{|_*H^vk`tCXSszQpGIxy6imaudM}RRINr}Y^mN@;B-ve zn2mhWR*j9Cn)_Ht`6TDR5uCpBIEe64&f66&65^3>9Er$PPR!(X+Fa@d(pSo38+r8p zSMdrR&vR+1=>#S>O23M?@j2zvfZCx7V3Jd8p;@12BI4_s? zgrpd^8bkowFHTe$Fk}Hc(Q6&Br_M+H0^vnAwiAs%>W3k1t_B!DW;KQy^Aeuov0KD8 zd9>(~^qFj}8hS(jp)bCj7eUNY2z2Ql=cn7LE`ALpB!}~+HWw#y^=!?s_!@B zMU8?0u463{Qiuv1cpB(e{k0aVJq^Ar0QjKYqg^sJ`;TCbq$FVotxdvU=;B!Kn*zsx zfMc*1d&&VvoXfjG4^Jzc80{Q|D|g^{1(0^>#A(@MdL!7iZ6wY% z9NsB1yZvy?fM@XvVgX0P;)iyRz`aNiD2&0=<1D2#Ed&YVbT~~dvXtpzx?wq~{E0 zk`iYQUYj|2q}pq%&K&lIbrnr8BalX2#(;_U@K=py`M!=k!;oZXa$Cky<>eOeW^v{b zxM{Vf+nZPH>f$p|oit0mIdJJU*L3vo+<`_HUN^xf8C6K%ZI2hyvj?F;DR(>fQmr;p+#WRykK3Il=B zM6>RaIn#q@J?wx9N>Bn>TsL%d7Su(@o`Y{}dV<(QDTW;Ms;O~77N_*kJ5&eqDb@^X zF9u(#<17o3DYzyn?7~d1Tyn5$Ie)l|%RY&`%<-mYwmm=d>gbaRIFG(Gw7d{bsSN2U zyu~5LaKuBgVc&FE7IFe4G& zahM&mZXMXA`bzcLi1K8z;iyCx9Qu#2-HtWHb7yHDcG8nVUGctmC34wP{q*KNNOZFb znYD+nJ>4U+PZRoNZm-{2e6Ty-NAPMJQN(+16ks%|gVl+x|AR^OMLD45_EU?*!2-ul zSZ->p?zh*nHm2y`yI1sFDemo!pC{QZM&;w(8;4zr_lB*GxTe;y%GL9i0N~&l5_Jn+ zKvE#0T3Jw7XQ?5P5qF_*P^}PD>k0I%>nD~7t$<+Nr$X^Iec(WJ!X(ny+Di3w;fBIQ z#zce>>jMb^stf^c1Vb;SNa-kN^_xtcBaQv|)P<{f9kE#buiqRx#D~SZ zPXxXz5G}S2Oxu67`#JU=Fu?1s0a`^b*CBjM6aj}lM=@i_-$CZDlBe)N+-D6q9Or(p z`+))YHdq&-N{aEbomIPsM4uVYk~JA8=?dW_1Mp_P>4?pLxn!CPIAEbz2R zikC{-l54C%S-D~Hw(rGQ(63@bDyligI5EM(2mi|M(=Q%VeF=uB5~Y=NxMe~aPNd^2 zgHR%NBtytMCAX)tz>REU>$%(typMw@Ge11e_AgY`F{^pEM*vo$z<{Q5D92>ef2eEt zDgG_CJc-n)Sj|-bf*fnkdFK4-KmHzhC4r6%2OtZy$jYIMkwcI)KI;*Dkp!P)E$y$@ z8|k6ZawF8fdWr)cpxjbJc{60>iZFbKd@3LkaM|V<9Nbeatis%Loc>5Zo=}y9aL(y< zYO>0!NNMoR0rrOTMJUrZXB1HRVFcu@17?@wH=N@}Op0P-I{02TT~nFtCdU{{h5%Sm z^@Mw2L(r2_v~!uz69DkbIpm#T1BRS%IZ5syggv~6h`5#@oyeWEx#bHD*SB>vsj8q% zy+rUTB@6+F!Rc~zFf6rO(hLD!C#VG!U{KVppb)ehnNEMPC6@xDQdg5BH}zliniXR_ zXfsC}``*5Q3u692bVH#(ZC>4GUQFTrU9@OXp@A-c7a=T!2}nb)B`bW@ctina6_XC> zAv~NQY4)O!B>*|07=2)`JphAeC$j>obYNV~V-OdqQd58x_acZxz4Q;HDBGvW9X!bP z7&)FpFDfqNY|d_7!#{?usKIA$H@UsW1pM0X=DbekiO|V5dbn$htWf^fmAE|1p@(w6EkHJm3zxQr2L2^a^eWB zS}+tM4KNi2Fd3MCbC}9P$A8XTEtIN1Z{T)e2|Rp2@wlUihI-YNVjC)+AISk78e1cA z&^!uClZG;}pMwAKO^khMX>-{4#q%5>{V8m^H4KgKjGgorwLI(c*bzYY41Q?W z>Ujb?6yfRzgQ}vo7#+*h@e#lQBW5^9qDSUCZ>EdvV1}HqH_gW`?=!xX>KfvzBe4(O zA}XDdi;<0e6(hP)w?cSt7RRM%T%P6xA=c4?dagfZVZqkb7~Y}*)3VgXKvpMm6WXLO zKr~97h+JrT|5$8-N)ig3by$J$+e8cikv$y?V;}3?OQA*1K~yzF4oT&NUXlOuWyX$+a3q3V;$9z3ZU zAP!6D(w#Zk9F(v#A|mG|NKz328=^=NtJZMn4E*H z=XUf|A&HzvGuC-ZFyn%NG9ri9vjM>z*twP$DE`Mn;C~;JvvHyaUI%#O=Au~C?!(bH z$P3W4b-M<;1K!nMzZKD{BL_|XZS!D&pIT+h3kA_QY(Z?0f9h1#8AN-0st}TlR^L%E#pF)o(?M5WCq^R zBdVJqD^z;ERv%iu!g&yZ7sGtdJ!Pn)$6iCrL0jwC>L}u?u&0UsbqNYa?e1FdKlo-&^QPOeWBz5xU^CPgKmw<*g6nN0` zo`lO{3f*|b0?Hbom)1%2#k?H!1q~WtQ||%D#7~`1xN!b;g<87*&pB`-Rk3d9&5{zM zKv0_;0--w47jfM%wf$x?3)N^b21L8&0Ji)Ct&jco0l0^^oTq794QNf^7#=M4;Xi6g zwpPDN8{p*{_=KU58s0>$x#@C45#?>fWki($ENtH;bV_W*r*S}?#iG$y?00QSJ_aH& ztX{g-VMNW-cZCn1qV*SDxt3hI<_0Yq{!hvq00*?zrlQk0KtmL z7RrD#;8+_thB-L1*-vUR=)8~9o%pH)_axj*PaP>t0nmfS7HW9Pi#Kpm^XZ@wksYSs z=1;01-O0Rc4%E6YAZCNTl-MW7xnr~QAR4=*^r-^ZwYwt8BdsQS_>C+pwYF;+smuvD z_v%GCOlFeKZ+77h%9Vr9+{>82b9-Q(`rUvzJo5vz);RLe=O`8%SXTgrMX$@lnFX|a z1IRPOwMqy=G2>R1pF&+yqzeQO?rV=ay|i`-))ZKJkc2l74YNU+#|Mk)4BvD>e(lSq zhja7bn`V?KxH1#e4FI6;v=o?@6$`?XCGBFc2$lTTk{vkUB4#_v?)|=Od=yOTQO{$QV^=&>w6Z1FLvD!mM_q> z0MeMnEtB34`Le0)y;%v=_KAcf8q75rs){fsevcABJ_P6ksLp0|?>>#33n3Uzfy_P90 z6A&5ge_OrY24C7C zw)T1g`LvNXZZTlCoQ+Q4+0+u%_dT%7St!%8P%?s1o&yUWmB#{gnCqcjvR-GEv`fk5>_+h3w~5CXBD&%bvk`cKs-G+i+mGe4+BTC`THNNPb7iN11e zA1pTPn#Sm8R}**$S@wtO*C?})NzO!~ZdQb#hdU{N-sO>IVSg_GLlkKwp8+CB-*i_L zevF0=NjIag$>T{T?ssN-B&o3gr6^$tk(%T_CeKo-PEAv;uR);3#ZkbraUE7ITmtf8 z;D9`qLIz~v&gRgZJ1n2`LQNLcOlbv`FEvcVdPkvy*@~!qOwHAwbAG_3$@*Ib6JLOi z4WJ%t0x>bsWmJhjI4Dsh#vTTgjS`yupRpFGb*iRJ0H%iS-H?F67j*IDVB4SrmDuNl z-|it<`5Fb^h(=XK8cnm`>C+!afYyo;Qw#`ClOZOb!}l@v1DdJVZ9zgnh*jr7NejRq z_NsjQv#aa&rtQaT+O{USL5JfQZrQ94tkj-sx3LFs+k~+;0)Sbsn1f{lEGCX#(EQ zm%^5Y0JSt&>XEc*IMlyDW7Kx9rk--&lls!fs}&t2V~EjiN$8AeOFhl zNEeBMc50|~LqgeKl;BaA1_X=Qrd9YHev{)v&)c&n|KGa6Lt_GSpr#_94f#l*|4JW+U zPca3r`J?L?U+pmPhR97uLX&I`YQM%JU>fNy=QlcRyP(`<7w4C7uNWeXoJb&{@LE8~ z$~^{;YRz@J^l(aJ>h?)~VauU5XxLMO+}5d*Ha>{Y;5%bt#sX$&Mb}BbD;5;eWpNeD zIKsO*bo-VAXsoaDs_$E%a`^GK0RCy359|dy#-DOdEI-682m-KAT<(@Xft3yU&w@NQ z9+J-&iWUd;ghcj`Y#cA5hHN(uLF8pxV}d{-In75TWIS1tLI@}NAjVBGUKS?h^K7uA zy=l^D8!0Aaep^$GX8tKJ>EZx?Uw=-l4js6O38wvBUH2~IV-iXCP`wqFkgZS>d&!%H zl4D`95&D~S88}ob?$wJk07z|rY}Di1Iy1R&ij*Zfe638~?O?lNpfz9UJ@n{*FqOMd z8t0`wzY7qyjxFd*#aJdGd<8Q1XXc*MMSA*myaZ&2$z_Sc_nSI*ueiG#J&B7;n4-JF zW)1~hg@YyRJ`X$muaLvuzZ^e_BCN;7ZJ^lJZpaQd{vSLwy-LM4teb;A&o+%o0!#-BCfIGdwmkExfRF|D6_{?J4%}&N0ITE#6p@!CsT0= z{bvJ$E#om0dZzpupO9W2b&K2_G38XXF55?R4#?@+3S0^cGd^1Y6ho|Y7TtVJx-oQ? z&y_A)4lY*Qy>*aKX35Z&g(F8SS?YWp(w2 zE?ej-!gqyxv8J-H<#JWjiWLxHL^ig)m`v&ZE(3*o%}V%43qv=>0mFfDI~qaiSwL0S z4IPV^v*SQ=Sro^tjP~uzveR3`ry$f?F?ueo`P}3Ufo2r&?@++2dCPHy++#FNlw1J~ z1Hda>Tt7S{uEzV>QPRqOmVmLV>+LWy70WD;m4T0ciZ)dM-W{B5HFAY&?_@jL7k@8w zQ)o`IA`l&?$z^bW`&Ez|Byg7FsDLIPZwX3Y9O^pxV^l*EkdX;(AD9M@0J99#W(^ zEblKFGC?{l?6fPB%S!>sp?X7No!Y8W3K+<|jHW%Ci9+&e0AHztwd0IK%t4+}2k9^;6)2k>e=Tp5>);?z3VlBuoR~r=K5}bKDTHK=}8d z61eAZ2xtg&_O5i5s0C4h8PzmRO6D|lE|`0Pz_1Y2wZI2*1=a6{05`Qs#S|EmIGX~c zm8Uo#A`5g3}zGG{tx0_Yz}mwb56LkaOK>wuZF+2j)nLZR)ID~!JouXA&3aVAbtUnNr99k zh+eLk=oJm{Df8Zv2OI$sUmC%`QpXFYwgk|z^^lxkR=)Y8>#gDZW)ZEYhN|u@%n&kZ zlLG-BB2r=2(C-H<;?LBuJwj}V2#lx9fTmV0=Z9@tc<+RU^G=P^;s|^XBsT7e>KqxC z%?KyMB>G^w%}mGE7lh#k_a%*}k(#hIL#sR2rB`2yY*K3m=1KyBtpQBC0Gg9QuZcRF zTa(1e9F#-l0OGu`p1CyvSQfz{#9#5CH_yvcmI8hSTjH0@P9EvPgw;+tTZ9zN(r57^ zRKhg_GB=2~>GdBlQyqZ@DB-udOfTHi^;;%RB3wof!OdYa2rUx~5dGlaN4c`4BWe%? z4cQBqQ|Zn{eIs**U&;~BGX^Gh72}M9tdg?!oVJ-D}nO`$JG1L`RG$fEAxA2Uqdwd!Rcm zx_6wC&jT|ku<*|N+-ODGg>*627&>r@;?{Y(#lKuo_u&^kYm>)O;BhPR!>wve0}1!g z!^bfx_vr6h-sb#z`sY7J;;19hDCGQgpiOB%l;p417OvcPk}$#EG3$b zbY1i3U8-*YMy;w3q16o@uh7I;td~gRA}tk8y>UtnX>m?Hb3hIRNC>iUBILg^9pfOE zNw_jnFHfO2CtdwV*P(7@Pw1B8h_|>*LiUwMtKcn|TMENAn0ASnDLTqLzT|? zB5z)_Vas2&*LeIN*hQ|O=TmJK2A7CPEruYzjR(Kr{jLf8 zR1azmpd`Qu3Z9vWsjuLihu=C2Ltn_4J^<*qkG^z3RBAP)q0Uv{Y!NFC37yV&aHwn~ zL``2$(~p-pu==P!?yHy;ox(|Feuf;*zBq)WhOPDDg7~nww)L6(I|DX(n5yP#4t>G? zbCx`pH((rKFosL#tAmgOZBJc+SC@4&l{jhq`KTKRqX@q7c>2+VQe|hrn9mjRZfofj z54B@?JF}!^TqzXe@E>kZPXlSuaVZMt%*kN>!fq+UH5bE_Z936|kb*NF&Jn+dgL-VU zebZ4u;Bk^q79nxnSw9amsVKg7%g|{G*1NVc?yYL~XkXCUEhawN`~bSqb~A-Mq+?I0 z;eO+6E)Z_N8YCbPl4{%sRZ1A;6){064?7`c%{Y=GCP4bMM@%3j1IFtQO#n6XCGQCP zB@7b`<^|UA;Jn$sS5&Ve!GY@gv9bTs5au0<$b-UQp4_Snp%{v}$ef6+LU$!j+{a5G z_&g@#1wH+|p_t_t>=|5uLqTf{1On9}h7Y{ylhmZBy%JI|QNx(5n~o(FP`}61+z59t z&f{MX^~4C%&1xyestv}(RPdXCt1%r+x?-_rZPKD5In`>{$T5EM{2IHI{EfPPP;t$; zP72FhpO_Q>x(5K#Ku{Qz4?>OALTe>%|>I;Ewr> zi$GVgjS^ifSMZv;`5}gnLGS@_^L5J-lWJ$H$%nnqx@w!_9}*=$bW7!Gop^*SU*?Qb zYzjDWf{gTi#HF`+TcFS8Pk=rbRvNxPK55E)N)eOmz-Z8m8v#s(5mm!F%QLLlIRw)qnGQ%og)FR*bgP>_5VuC4efd@QLj-&9ADGMDU0(&L)p@n zck|HAa%}QdQL3DDW6M_4Z~iG%UbcFP)$23N?IaL{=JUWpHOqvu-EHTYN{_uzg-Ff5-t~^VfDx3D$Iz7Ipu;I(kb`H zfluZ^qgA{mpCRYd;$RKi#q0b>O{Jh*j!liJXb`LCAL!!^z9<{GoCql9cRjz;1E07Y z)KHWMbfHe`F^>x1hIt*h%e+x z3#4?1S3%BM6_9c~m_ItIt|xmfbPb z)@e=!Avps{11!;-{vyWu81Qfd8a>>psx$zS*G{&P*~o$KLTuB%ROeCYfe_F+X-}6N zrwPU&hC5mtmxRW1C47D&{6v(Ahv<%H<{&QfVO>5lN#L!tKo=dz=^-P1yTc3AqbrBln-6Uj@Or|UIq9|9R2M!ooQw4;s5>43Uloi+Ez3)P z7MgVY&9wfI5$6+fWt64_{cy}Z72F`j(dC!v?6mC(IgOida!-%!Mgq zajw`#^G%g3oOM7vB>(R~y4|-HwiVY(7ePr3@{8Cml}`rS(#P9T=&LIXWQD3;Dg1~d z5CLp}@%2s+r=Iokna2uM z50YLRWIgS0`twt{wAvfHNC|J@p<-#ViRjwOBLL|!Dp!Z3&C=nc$f};WKHrQthQAx& zr88p3kpGzkqzpcTqYV}n7gO6Q>ojbNiW&7|GK_XIbgg`@r4I~(RZAI#MGgvXG^1)G z#bScz_gp;<=&}x?i=QeSB4SPC6-{Jc2t)}{_-HxgnxdMvz~^QI-JFYo-9R=xyjJT~ z=-fF5LumaT*LI1|yoLxG_xgYnY&)qjKGi4Ip)D_6OEXU=WB9068&Fs33kBQ3g@+U~nKmm)|CjWv zyY2h?VMMYcQbR#qzP5SQ`diif+=j(4>Ai|1`F!wq;+GAfm;1y$Bf;Aq_)eRp=FQjl z1v?6m1Cho)Y`l;PXJJ-gNS6^aUr|&vQ|OJuMkK_=`t*{ZDKKJXZ($3giV*kvfXS)0 zw_$Qa96{`qAyx#*w11GC0pz@~KYDdW*mCKaUjgXJLA5<>hF}ah>}X=t@+`4fdWGFJ zGCoG_1hJsr6($eD3#Zn0SVkhecV=DCrTYm}dnTIvNa0Y9Ei(Az3xza=a9XQTX>z$y z@G->Ar{#OGC;y`Yn9X2jidC@?s($tRuxsBhGByk@8pJ>K#+D&~_AMhwUImp?(Iuud z7)Iv{=}21))_Jg!hi}s*Nv++ri%{;Lg%%lyj_zmhHp-3)?qsjSYNEx!BPB9$F3&{v z;VYLF34cG53N(f2Tjw{LVwzF=q~Ff?g#8OrLC zFoD#&&d_2mL^5G!?C-p(y#WbMRMvCo5=$mxNh!TFS`fNjh)95O6PB@f8va7lq#Cb= z5mWl3Db+w*W~5^e9c5sG!*a98G2W~+A295JOPpz;W7D#J#vXa{0H11n$DEsV39KR7 zKEKvuGCN6|O1tOBR&nnL^3u!ZqU-dG(ZKRq5L=>t;Ibp=w{5lcw^PHMxN~U~Uy=`K&uA-Kfr19Lz%#BudF;T6JydgjhJ9Cg3;0y&bEzyk&XZT3I1_P1k zB*d^@q;f+~T?YeXAn6w$I-uT#Ip631-i=ik}4N9YvIiHp37Ooi-(>= z%1v63K+){3SWxQiiesuxZY2nP@of5262TM#cxtV!mY4DoBsxHQQN_cpf>p^bnCU@! z;T6e9?EGffumRvvB#E}`bVXwL=O*DF0p@a61)f=(pyhxS9wk3f^E^@+t^Tabm zv6e52qMgO5-Lgz=e*`y&m&BGaIyiDjJY_oCGF*sZg6QqzNEEEtw`r?{W1XwoP_6(V zwv3N!Zr_!J>pDzR6{&M*xJwcRy>PK8nUUhTZ@Ge3&ns7ilkT3XIaw2ytz^DSaIExf?y(ASbAe9*Le_IvZuL``x)Y=&kX*UscBGsT>ewn1M_NP%`OX zsz{1z(tA(XUT1=gRea5Fciv|U&X33u|<>gZ8#Y6oV*4lol2~#kJ zL1>}85%WpgUcxRkNaa(r(2R0RN~~-Tgw}0|MZpT!WI<%#uj&JruK@ZPOt;dUvvX6( z@o;RRY8rQx1Jqvk3uYrT&p9twRgC8Hmw>qUZm}8$U{F0A20);(0Sj&4kk?1%w)k$@ zuhulhs3m?k=%zzwgw0Qx3j7C z(d?&^eBr8eFHw!xD1k8eQV$~xD2dn?rS1bnKQD|LN?%!#q|)e~OjzB$DA`mJi(c*A z)!Ki-B4cahVL>edPkbse5ZA|<7Dg@dWV&-(Vjj4L^whL*%7iEjk)5(n;803a(Dn4!j7Z0N=sS7@bEPQWJiq$;w)s;qm?ki)@_j*Lgs zY}ENqk%wz_*zvK1q6IrXI`)zu0t45@VyX}m#-Gd@K>Ob*yHK^z53F&8>59^LQx*&> zr=_`ib*>}818D6m2;ycD8UT4sphZqpHLpAmP9*n8SaSQ@^jwSpjS(bsOevb6Zo>|~ zwIlsMRR4O8_Q^kQ)=9YBaGYPJjm8}p{`IA%{a!8gAC#TR;+w*g#6s+P* zRborQUs1fz~4ThE=$6B$akwQP@8gv{)}6ipRMds2%AW?^Hj zgEX_fVazGrFTN5H1+V}<3=hoEGT70;zRs(g&i4lJA0>?Hi?qpW$sRtmp}4Zuc5eh~ z7PR6WMg`1K-wbe%Gh8*&0Kzpw&1xEVIh{ym!kSAzf)_d$e9*;m$FDy-5 z$Xc@7jOKnYy7iPNM4s2mj+Lxb9wActb`};y8W-CZvBAe|`~yfjY}pI+%eIT+s#QDJ zEiKtRnCDSNX8xkMpF^czfDelv4;BJkIWL7l6QM=n#umV3^D07C01WY3GU#9<>0P!n z82S|?f=s+K4=6Dq2n}$tMcfHLU!w_NL%IEPLoPChTGE^Z{{{ekoTS{T&7!ss0;SZt z98*cv+yvoet5+)JaGei@ioJlcmZ@>;6xh^*S_3Vg0j8~Rpn4>9_jhqKCD_y&jwEh2 z4&nH8#0P2Cg z$Q*^sgDQR@uV)WuokpWC%s|28L2Vt4?E+!t>R*zoNWKVp6kHY?lhx$WAr{X79r!Ko zM$+Y%*qehp0jLXhztF1hi!d7K_$}$iC^RlJvq_={1r46u$Nt`*aZ`9SRl3US0sS0S zK=>uG77G6bs}?Snq5-uzP5Bknw)N#&m$Zu8mSoKQI{W4$nCg%GFQ`D(WZPoJY6^{P zPx_Zc_ud@~QV47d=s=cfAX5Y(^RL+ZMfi#Qh(=Dt>OE1KA`!d5eIvYnMxU<&NrTlT zRkg=x?#a^)7I4aedebCo??T$ZNS$ zV!7?-E>;gfJaR{w@_78j?i~*F04Yy^V4!~SU-Ivt_d5CWsxm^s!{=Qk(PqB_IMBD8 z5UG&lmV-*jqstyIv*BC8T7e4fyttXya!X89RW#=Kh z2RNyjzEw;%R9|yL$IrC8px0BA-7QNpZlU(VK8GFby;ve}<13^f$Z(ZuaTgoA5%Gfw z*U3Vf(mW?U@(zf`n0br4Z287AwHBPV0HCU>Fjdf^J=QRKNV}^z6+*DiUp%DS*@heg zvXLBaD9~Mw*S4=l9h(P1sXYi#8Vt)~u3eCg5XeT7(0LWWL8vzjX#G@@FUo+|+81JZ zwvVzG;S>)ynufr1R4-3{GSpUJG9md9o`i!y?yGP_p=8U1lZeoR#lpmt(OqV5x-^;^ z(gAgF+?M_Y%M#w_o4$bsJpLb6H6fX;kV_z&t{H@QI( z#yffY5uc78c~sAZNz@uqKWKxYKN2j&_ne=a6l%RNnbTSlAbD`;{e;NF`Xg!`Km+Gv z`-d|tqf@Cxr92>p2kL+*tJ4XV^RwvV5js=z_!UT-_sLj><7#Z|Gm3v(YvL- z8jp0EiNhL7oM6Y61*smG z;vOW%@Zb$gKJ-r$DFb;oYKOm| zvm^Y%riL;7#8=5WTGdaqw3 zPe=EyGYyj}qX#B%&0eM8nf@gq7}s4AY)ScG_h96U^VwEsh9D_Fp3L{4_z8-s@2{7$;HP)g8u;h zDb!)b3L+`&!OI<2xkY+WiJPV1gD|G}s}?@swl{h0#iph&Hr~*{R!dC$pn#9eQ^;GW z%aQ1aE&T;Hhf_?)R{SCQp3&foioyhTq^MHw+<4t*&9msmlcg zNou$Qd?~_EPTA4w5wnURQvSD6^XZ*=?m?DdO#5y_=4{SOswj>j*jl7wz}xvJABP3{ z!jaA6s)c1Ui(EOdKN#H-G_PeLI9&vt?B)S=`1`TDqSs1oJZ1xnbma^Fa)Z=<@QgoB zg_)E7F3V+_AaH&;P1;kQ-S7u7AOBW5n9JE%UqMSROzZ{ko?XE5eOdxm;r`3JmOjsy z>31IdoWMpkTleeZn?fL&3v-L9Wog1BMgQKFIykyLY5WP7DX?>dv=DA8<|l5i#1PcJ z3IVCzO8et74pGCnxhru^PXDm@5AG1hH9>fo%7I2Ta5i53cSxLEn4e=u9}JO;RQNA5 z%S)g%Vvtqv9kr6F#PrPauXcFxEoz@RrYz31V^R^(%={jD6gai>P#2qRadi@U7**&| zJ`DAedZ&W6jLuh2Z#||iZBLET%wlKOG6Bpx3&0#IiUm+BH$KSxW~Kw0Ki8P>?E=@d zx$P_6KdIIu!W6SERnf)QD2C?eK1@?BF<9yPa72BTySAtG9jIza#PCi4%vo@0*DUyE{FN$IM>Dm_)&tqONK?@e9Uz*C=0Y0=WVwOUwN5L>^61f4{cEvE z!EL7&Z{?nKC<+7gLDeIjfhmG{4Yb?h7I^h^m zXx&7tj_>&M)2=Sor*@%FNk9qmq}yvjRd?0OUw&7Ut^2Qo&lMv|P%C@Sc`3c6N6>?c z(XBvH)QUn;Hco#XnTA>%F<0!ov9>S&!V00WJ#`I;FZLF)uQg$Yb=JLXy;MUOhWJ`m zHI`BOI^Q`o^5iKV+fliwUbs0sf$qLJJC|NsTkQW!dDEf|;A=QEb1P{TSI`;{jT9-Gjj!ig|XWz;yi3`hh%4j4KUue*puTXmuYi`1#QH zDuYnONm#o}>G)iRS|dA)D?kW><4kRjuMfP*hj5zPY*3})%^bDeue-vu`_R(YxZ6rq z8%%0*nAWs%%y@k;<Vv_Vuq{#tatHL*# zURmbo=fhWqSC=ofh1y>o1aH|cS()?>L8>rp^9MwF>Rj47zme~B1Pn^=)B{cd~h^1 zKITqBQdtz}_I;A?1Doli$(+CdqDIdNLq_}=#SQ_4OIU%wzQm1^5#R;Uft=DE!iKK8 zOS?`CKETCm2cP796Ow4)^${TD&08PORFfC2GXOz%=X#(Nq9Yw?s!Vf2YeEQo=IqfzGz_6q$&N5A+39wFj})T*4UY>rk) zK=}U9z)~*4dg-Sk2ST+Gv2Lq2SoO?vXH3e+&qV~EQ+A=wC$s+}b{CcAVCSLVuYE2W zJto3%Ptz+6r~jCx(x=L$GU}vmQGvsKD!)eVD>bUW+h|H>Q2iWJ`*O<#ZBb8_AUNVf z(5A~!*aBlU)&J?f0oW8jcP&;|Pz_1K%w1!R*!FEa{qtukXCL(N@DwRd8XF#_T3?MR zrW-#=j>8KgK>YbL-ns2@+d1rd<-)qx9>AA|hr?U~1Pr{@uq;3puM*bvY-+a-7Q+$b~FuqsL-`$=R<|6GW%U6iFDZqAZ8Z{_Ref)ydWDS zdzvm@#WMuaFv@3nNZ*J#P~{ub)2b0^_&hnC9NWw5>E0JHkiD^@E|!DC^3I)ycK;eV z+yvQ(&1%cAI)giK{`aSMzaZkk1di>(yJOtrRgLk7HOiF8ipwt|d$HZ*lO20mFBJA7 z$8^IiN-%h3ZS@P$s|j#zI|?NG^39V&P5UxnWI(7M*&Bu z=ttNGl=SdETuc9bvOtWeB`_u0RE+o^I<+_|Kgev4DRt6Ck|AJl$S1aa`r9F~4^xTl zrr(7$H-@;g#6!Mj$PFxY7Bc2W7Ty1z4LR*42_CannLXibiC+&pOV;R~#E1Zf;@mnq zExTqFnJX{pI@R?F-YwusGoxs<0N&e^tt&Zn>c*YDmE9s$@KN>HMI$W4(k63GB~pBa zQv#k(GJ8BXzQ|!w0=Lguy>)c-3MC`m4DzNchuqID>1y=}z) zYm5nsSCF#18eUlJKF8!@SpE2i1JM>UA~u1u`W{Z;0Au#I*t{KE@^0krr>o7{&aTtI z9Ky#>le5NHrV4`C!T9f-dg?qOHd5)j!){ee#aw+ZRwEXM9>awSuw2me3G()}A%eibuOquoU5H;)BT8&xUPp#2K+fIC<6?uxVAW*X zp{w2&Kb#=Wx{^ZiF5r?FiSU1S8a9SrzQu{X;SF3jIku%;^@i zC1C>-ROJOpHt^t@jB30AJ4GGyq!?B&w%Ri`u0aXQYIxgaaP* z(>&(p7Gc#?X#%ia_99CLcB8mqR=QB1XkOL%!vnXxCX0i_u2!{Mp=fY`jSK9@3|0|T zL&LbXsSqz$^ZtWL)No0Ov)TT!r~B46dd~Y|FGiTUA^s?StA9)2uWq%cn~wcmYj(sD&vOG&CjQx-to;D zme|$~eRqlp{qK>gBMfLImd=1Q>m=S+mi2Ct#?jBKTc*Z14&|30zf@x!rYMnv$gP{| zYhnY~2?tI#hr=6r1tfo?#w|T0?1rn6mr0dUb@m4O@n%*sb$+ZhXce%ih!qB1FqLmj z8g8z*?f8e+72_lAVxxw!)QB;Au>M%KBv8lLMSqI2M6mU@uHRNfEfIzwfnkQGapBhb z0DUV^)phzOl^az%9xrr(C4LA+5`U>4&BzVfQ*%o4LkM&r_Ub0-&{-{X{`k18NC<lFrrR8}5 zGUL}ii%$9YSlYVBf+2Sp*krV}Fg$1yOQ4S)_NjYJj!m=azs?LX_Ed_u-@)QI2fe(& zQS8?CzDx)DHCZmR#L~+*WFlO9PtK5oPI4|u@b}mpIIQsvs*c@cjX#1~vaTrvi!@%6 z;Zn-Jko=tad=ftz%Uw_yyO~#4r)D8xW&RDN9!px)2Ctiq!^v66g~P;z3|79-xTs6M?PbNYpg481%#;0I$m`HN9TjwnC~3h*8$ z+A~G9>3T{b9NcwcQr;L8 zs}vOYj}e)ym9>5<>nAaejn>>lE@{f{T#&?Zt5#`pv5tPS=u5?30M#xQc8Dlf4v?on z@T@u^!VdKVR^xoqubzj3UK?n@u|l9R^G#=(E*B2E~?nw zWt5?BD)+g}Nk}VQ(7iJivNu8F2nJQ^*|vkGD%stN;98(*;d=88!XoSeXZ)F<7LZj= z!qznt-Iut?sBZG+wW*ID>5Pk%B6`EEH__q+V6qIJ)NKv5?JFKAJgARlayB1xyc~!j z&ledefFgOonS3uK!5@MANr9N8aJ+`5!gWBq!>Q(~%_I=xbZX7d8BfQTAxtdaU4)^G z6Ivs!O)>TeQo%#vEQ??CPeCaHnH@RdptOuuew&AWLN0{#65x37#w^*{BNlBrfnKuq zsi?)aZATxTnCDiK9CdW|P<nLPgf(MCUaVFR|18#y4(!*`?& z9WR0P<5JZicY5?|MBfj`ms%FRbjL)D+-Eu22F6tK^79QrB^?-)1#)1Z6s(`>D5WPV z(e1=39{On|)+yNOPCzHIkRcr%+?nw@DM#${*@B79XxKalsPV>pj~u`TE>}N|0aJtm zSb_G5xSTn%xqL)=vkhE(UOn@@>Z6F41|%~ah1@-kcKcDI9id>B1KkSZC-xU5q^TWm ztpjt|l{g2O(y48Ml#3LTbD<6Z7MD+(BEuqpQJQfJoNBuqP`@(?gt}JtK1_)XG|qJT z@peEx0;wM@OZ-rbR;T<>fqtWn8msUjcpGR$9+zx%{E~uO)MLOBBJ#R{DS)1uljjIV zjU3FE1XolxDt;8Ysq;BHV;~vNLj45pWkTo1D)UUJ`H6-4S%6;0Vx#}g$Mn2_&4TbX za8^xVFmnJmkj`3AVy2yyUS{+x!ucIoD)w@jI4!_fEJj=2?p>oH(7?+Xd}dIci^9Zr zM|>*Jc6q7u+AM%%U)Fap+EWS)WO#C{1HbP1HmitUUWSgt?;o0(fgtFnO+^YzXuiPx z<$jvRehz@!|AOMzRw>tjUtY2p)*A4g7{Pd6wsIYn81qw@O1BVi1k=KQ zXn*R!Q!Jg&ux+`D?+KrE2FD3%Wgvs;e2dz`AlYj|@IJCS5a z=QTWV_ccxU5A=A-)xgCAsOpDk$3QO+kAKZxfdeo4pa6-i+fw4`k+u}O?5?E4TUedv zqP6_pH}e2=yrsm2H=}Z&Nn-|jymWdcA`}Qnmz>$MEz{NXyq0q><^td?MPLFgNEOVz1m@l${E_nqHHo=zA@QxF%{4N>2!LyiK_U$82|p~H zM+|*?dnUq#^c<{cKj7s!^R7S-$|oHXm#;W3vcAs=!=@`RwL8`_$ExwiL-JlX`eL%#KGX_ zX5wIFFI*Dq!}fF#2cV_-w(lokLIZAL8f$_Fyh7zG2J#ig)S8r@7{Mw}W(AM~678=T zoz`6S68yoHLk7`zzQR~?oJ_?iF~&lAssj#BFbH=(goQyYDAa(_^`~SLL!~%HVJFHZJb<3R z$c^sV+i>;8Cz^gTl!P2NIh-O=&c^ajXZ?V64R<|y8OY2+Z-fc}dQh8&S@#FfPq@6g zKc7!OUWP2bHv+keSNM#7CjSrxJUjxln^T&|XDpX$X+=C*YOnomKqhh_!lPD#bYpW- zD)O=%T8SIlT;71$<7|pS5znB&1Za108HBypi>Kk>E;AQ2PkSQ^(^1IdT_p{PO=thOK)C4v z#ufkL|4ZaKo{upIj^W&)%#Gma(!b4T*T7-U4cr%&homKhLa?MP4Z-}O=MP>WU8+|% z=IYeS4ntjp)>x}S=7^!RYY>yEd&_@fIKwHiJ8#!KHkeHU!29Jrdyk z13-wIU&^NErl)b4K>%MW(sf+L9xiKRqNl1ta^oE%x=V8*tQYi-^#v!PCIYl(-u+2$ znWw24ckh`%--vRiw45XPWFBe2#A$qK3~mbl5vL)G|ME+mP}{CMt8a9ay!>qa2+=|# z&w`=9uV4yfM2rZBRU`NeimHq?-mCFsTz5?e2`g zS6&0?xX81jL+EAlzmk(mzjY>i@#E4=$Lo}J7m#2uL1g8>D1-Xk%_e*XHYWg;HKIJ3iHWD^OIV;lwpHC_r_ua3EBrQoDo=;iMc z>H$2%;m;L`x+PJMgPfJ%+F?K~+#43L%olT{Ha=XeMtc(`EACCwiIggS9HCE7Cg@r< zx;!}(ore%aMJlE0rC46v$5eW^dc>;Oh7c4wbd5irPM?9v7c`nC$=X)I5D-?GDo8bt z;;7zaCJW>%DMvW~fD74oKWrt4b+7_R90UD=$}U3*Tx5}ZDoGaA9E=_MmwqlR_pqq0 zOy@IxL+A>G9bwu%!428e1>DYR96t6<+M3&?9>8SLIL)B_TL^RXkKBfsi0}mLq4|6hTT9%UFx7*n^DtK3Q zr2`x2o;o;5EuHt&J`~m?YUjiCYrI}RA79mYgESM%4^mr`wok;Vg-MNVYoFCW+U1OOBu>`Jc7L*Sj~2_qS4`?FhX_j$|Bv2>KHUPysL~DEWD#B}?*ME0?T`8EX@2o8Lz{1ZKQ`-eit-b3#lk}`L^ zQE&@_bY!}lKmHsUJ}G@5U)DZq9;8=`hM@7xR(nG_Zon|=V>SAGNwrQ?vnZz~+SWUm z<@UcKE~BRRk~3r^kD5BoS3(X9bor-_BBhszwJ{(5 z1MVna&{wx?vO9%@%UxOIwE7W6gMvrJ-p-VE)8d0Uwc*zh&08@`*7g(7;{a|mTeUY$ zsUAB8-z`|dbk<<=T!7yBe$Su%FcW8N`bIhrU$v<-9+_*N^#*(OV%|1rN&9}mHhTTs zqylK>L<(HvN#|qoQiRXiK-$rmisB}1#)1ss6h!Dzk8iyh!0E~x6-l`A0l(UrRt7Uz z9eBCAT3SUTj-(XWSgX`qfWf*T5BYTTo#FqVnXL)T)|uQhlotXG_HYU6Cr%}If}xhl z1cjU*7?n_m1{p;>vIX&bst(~!JDvCvAWG=i{WiWIdnGS(0uL5w46roPB;Yxs0U!s? zcZuEN54E3faY}d)X;ZJ2r9DE!x&e}KAu|6q=xXh4M|%?m^n+!G>M$GxmHFOZ73e%86o*fzH_HRfM&D`f^+vh(X7_IUZydG267~ zG!g=hs)I<(4`-)lFad8NPOg|ADVivlvl*=gvJ|dUwjWH@H($TL z7`6Pv>bis*tcqksT@oOBHLkCg!!OI3N_fn^v#%G)*qP1}=3qlsPj9J_R;$fSVq`-9 zGK%u97`3XWPEoYXF_g*<^_Mjo?28WU#84^7f)lV_k&B|Imfv$GY7~EX0|iY5wNAFP zG_?Ozrb|-m94Mrs2f2|m_9DN47|$*jaNn+liawtO7DG}$(y42hva9UaTg*Wb1V z~tNiJ5*KRee(tt&0S37u?1KI9Jz>fsd$`}hPQQ9ntw((U|<9ucA( z9F@Q$nnFfEEFaGuEFL}&harjb(@`+k4P}F&z^8179zygRPnZ)l z!5H;jvfV))i)3(=jPd}+^J4i3ZxK9V`;9AEMvpD^Wb=-En(S;3%L6My9HvqKqNf5O zB$;Da?y*M_QS4w9^>U!HS-xTr%SDpxK)``_hyfM;YG7F){f#pd0P|o~t%W129+iax z;=zex)4)7Q2x#1LxY&({5@Qzw!5u_snC#%L3}A6gOc)}!hxJOFKHy^~$;Qw4z&#T|j!Vp)u=CBo=Vl^>t<6v`}42yUs>Kg*gnVEIun9a2AYRh=~;^V?xSCGpK z!o;q{dfMzQqC*FKDO7)+VUXPY zHqUIJGgf6go75nIQzy*>Lb=>1QNw-RnAOd(E4U7PB-n!UA1eE_)J;vsv1KYUM91|= z>0uruG?(wkvII^E{Ik**__*QmZ6pj z%S9injx2#xBUulCGDy+NULbu(JUGi?fF)7f*n`K!c)sDo1JA4{;@y$PI$_V3jpfnf z*3*{vZ0P7jOQkFecdl>@$9&J!km>|`kTO=hS!9@cx%DHG9%)NY#7b~YnWL(Xv68rC zb;!#oss!20+8`g0dP81!dqx3v0nLpcqKx~woDN^3+6sA=DDmTXP#DD-Hj6f}{X!YY5RK;dM`1lE;k_tbLER`_V5UMZ1 zR@jRKJ*T^VN1gMm272JBd^^orD90h@Rj2LO$d%m46RUEjV%2fq!X42rjP@!9`Qd5^ zwH=aDqrgy%6{QDQ5W0gFphLiSpJ-7*53a=agW1_;d#b6 zyIetHYN6u~WzOVKB$NNlq>FMe{4gu6Xc7y=Mm552`yNK~WQxWM70snBANzo%tO2QM zH_19sx5Jv6hi9GV4o3UJ?XoDNMI3ZrCS1>jansS8yMXlEWX&+KGG-B|*L(YEFEc)! zm0M+59p%~!l+p{t)WweYF+(nz+TViBj~j>5(G1l*a*=_lncw7KZKNQX0= zA&b_gnkd&qN(gIEAUt$BQ@AsoJSf{s=-lb(3R2`rHO>1D2^Djw6bca)i&D084i%T_ z#2iB*;(*31=am{q%qF$91p@>^*9NsLPdI~?oa;`cpuOy3Rp~G{es!`?x;iy_ejCW( z99EAPM7unwhyDcz{UYH=s%#@ldCGqJ|D)_Xz@sX&u;<>ncY4iC+GLX4N$;6JXrbMN zBAo<66O|wc>Y^k9DvK;bQCMqYBp>#~y0$ggW-UOXU@u@_8;PRex=L6TRD}HR`R<(o z-2MOO`7dNLnR3f_zf-<*-t!*nvCK6f*4uhh_gJlN!@)oR*eL~yi%Q8uPDed^Wn*zR zawD+Ui@h^I_7Ac)pgugO)~lqpU>V80>e3T#Tayc_n4>}xkjybTq&0!J-0W|C@)Kke zNsOK@aZI7rF+fUupV8fyh@*r!-Yqq5B`uhZMRf172;D}F%K@$L3PEW6Bt5g?Of(HP zBA5d#KWh{$)JXkM+%6WgN>M&+eEv1gnZ_V(>lc0v#-9&%hft=j{E{x zg08Zuqj4|0#vnsaiw&d{P1t!`HEVdy>l zfW+XTyjP+Pt3)i=L+}Zw(|IADNnJQRUrEH}PIZ@+F=kW1NzsJ|uL4^Ka3;(!E^sAL z(%V>g+^7I@duwp14|9oww=7fBj+s+IB0|G^${02`CY>!RBCKr4rYJZU6+eK;sA4gj zz7=bi-=9Q={Mn&Fl)))xG?!ea#DyzIdvnJDe4_Dk*@kLBzA5y`8aL$ToDw*q$zgk! zr(M9>%RG;OgCRR^{YW#+Ay?%J5;7kyrR56PfbWYy^Mlkp@D_V&5c+{{8}F)vbp4(h zPMpJQ=HU1tCQJ{YuD{HVl=q&R&A9q|bl?*i!-nqUWsaJgIw>;?TY+%)J$Sed= z>&9Zi!oyg53Sm8{8Fj0B5A|$=m#XrAfQ21!`;+MQaug~s$MhSwUV&H~xu&RXz4=lt z4xYQvu`_M~i7TVG3dCZ3_q@No$6=nNi5^bN%$nCE0rhlNb_k0U1x1JZOd)c7&FHPl zcONlK(=-gP(K+G2Hg#yQ={0@u4G{zV=NtWwy`>-pbFQF>DiY!AytPDJNczyk zE?;Vnu%5M`USDuyq0A;MR4%47HMgyVA9(3wNN~Q4HY{Lzk+7D-eeGNV>ODvSruB14 z1KFdm7Xy0JK4BV|Wmxxkz+}M#r2}Tq`*%?}S$KlhNCYL|8_o#laJ8e9dTZoGAwQaq zSxO!TqKZaiH~^4^LYK!k8h*N3`iFRhU|Gb|4ZtJ5UB&xbC$jrWtohV*_+Amyrzu%p zNWx!4lZr!J!S?!FUV60oEALW)?u*9uw|tp`9L$iEMArBir1^fO9Qeie5`cnlN;9+e zr$Mz4rvzq!y8y03jhKhTVkTR7e~A{uBH!7<`ffroAg5N#KR*wiGWGD#+O@AQ+5!*w zg8Ejn+yM+kh)!+LDR^!a=d)1Mg1n$z%Avj;4)*&#$7J^S3=^Oehl_2@ac|hd_I_ou zvUi=CAqEB~P>)vD4A7=Ea(zLUV&KEXM-2Kjd?K%qUD`DW ztS=+gZTZRJiq{cT($GGou^dFUA?kpP@s1oEdSSAqUR%lR`dzHo5K)J{NPGeth2ek# zH8s$ABcrv;oJ4>I1C|fk7N%d2i6x+G;=Mg;(+5{PskLC0&%R4=lAEJAAG8!CxUr+SBX6}KoBMcY3-gAein|9sdjvqeuDfh~Md zFj?tM%s;fS5uPCwtK`%_&gBVNvF~L+6~N*@-{`kxYqxbYYiu#2o?=+4l%UUF$fYit zD*NKk3JPL#jR>$31Jv>pj*IwojL&>WYxXXXpmfhf-^**w3QoA`TJtno>b>Mxl>o_s ztEz9LnIdfc01=KU>=}B$vzLCzLh|Dcqmv>h4@-XF&gma*{I{^R!Z{E74DE5CygV8@ zB!c~chj6c1Qe4dT50|Hthd7H?Y%rMtC$J2^Ow~eiLOa{{+XNo*wXxQb1$<_BFf4jE!e@a#y5YT!`_J?N-$r^ zAOs|$5jy!R$3kVp@MA54evMSmj?~uA@!U!|>&mamX!{v#Mti2BL$>F@If&blt-OC} zVKEDTU`~f}#(?+U;?Kv$%36N|8rldw$b{X_;yG_NX3LawQTGUlJo#au3+qgxr%sd68x?eJS6XpsHr!D+r zoi3`*c=f|u5vuyb$2`=pKoK;c6YOcM*+mlN+9++Z&}P}o8a6A3w4~o?6R(FpD;{_? zvDFC~Tm!MOU%@9sKo;&{7uSF@vU6HDZUuvI0R2!X;4b!KhpVebQdKz*fAtn-=aGF` zrGdNH5+WS`@EHU~z*m%o7-S4pk;IyB@$&4MBG`FCTn|rDI(z`#u-h~9V&IWvey&Ed zK_!?)VYFd)(G6hK(R*`D|8J~P5K~A?JfDIv@su61Uj^*pcQWm`5r1IPF_Vy%#Xwp@ zf3&wAEk_vaN*ixLPbAv{B24?m`Jk_iRy#u5K&n zP~=^hhA?2SiJbK65+MaZ)?D`B+a)eG>OaLP)Eu7l^7c!F5}Yqu#DA{S1yE$0ZW20f zLw6p!Ihqai+1*l9NY2Q;tv_D_OcQF;d2mcbVxxP2l?sJ=?e!y>?>it&x%1%}jZ7<6 z>lU`>uFO)l=jt5vK|7w9%9`JFDADG=q?;6kHUB%eHbor zaO+x*|SPE4XUK zB*ZK&)1eY?7`Y2QRMWaS*P9zLJGVdRSWX2|)GK8BnQ(-8oN+MP)pYi=S_7c=KNXju zE-eB4#=%mwqJGPK4_8KVKL!F(qyeCG@3C4?D?HHZ5j@c&cNIY$VZL7-H|CrNm3J2` z#Q_1xK5rP{(LaGr7$%ag!$+|IP2E+{?dA(O-T^or?^e-lgcInq^^sA(hKzbpD^IK4 z4C9A7!CTE2XtR-9b9lrASwB*5t|n6+N6jgA%thD?dO*>`Y@r|qT*gWOl?+jQ(I?`F z2$m0<_W-^NI-f4|deVan7QDq7`*|E_HBHByQ)Qnd<5zJF#^+oP`MDh3K!@WXFRY+C zz7U_MDdK{o*TCPP2R`@mlTOuHvjP4!P+}fKhU#ZypT+Lwy1)V-4OzPD7txQ z5gAth>x6z5o|dKO>b)n%RA5#i%{vG2@P=bkt!zO;(9RhuqYciy4+XM3R&^8DYr?I~K(D1(PmI4n)ObT;Y-7yQo z?_b(wcJ?O$_*kDq>F?cUF|&+(gby30RV33IN^gX;>Ql68@&|%{ZQJ4-5Pc^Ql(?@7 z=GOw_D$cyat_~#x$*9^!_adqvGPC?zV6{3!2^O}l$VhdKh$KBI1Ae`)%*{G;o!@~x zV_!z_R&7f2&K|eP)23o}QB|+I3&Tkp$K9ovSWAnfWOb2D^UbHnLh zrVrBRv^~1I@U#{`e2*}f_oF^WEw(r`V9Ep8$w8UCCSXb5MimNC(nO`4%kjo<+e$;%=*-S^-#xCS`UdjcdoH4fs-j8}P5Fj*u4A;XM^ zqbpVlKCxZvJ#8m|3SUSfLmIl%SRe`^*4-dr*;AG@oF#XNn7?o}r~&Z95CK}OH>)Tq z#8p5U<5Dos6WHB*Gi?Z$O{Jy#5VD58_}vk!f;=Pv>Qv+g*teosbn235*dKKy&i9Ir{&yhWw>ncJW5DNY- zaw4AjPqBtI?1I3+jQ|J7_~ZlZnU{2z@uij&DNh z_04_7X=J(<&^?<%4Gd7+8Ea5%6Prqb zYl6I3Z9~a~G*%BRW6I!1qYnw}B@wdYDu~V!3@zDFIFjgmg{V7nXS*@|`4FBVR7CC- zy6#+H5dFz=kZxy0p9gep++?fTy{Bq5B%f}9HTvW^7cl(XCsTB&NaIk^igF6TMv5>g zA{dtLow*OI+$2-x>`e zN6iUH;8??_CW%dd?kw%%@H)t2<`keZYbQ`N)QpuyQa~MDK0S}?eg!$Y>Z53Kp!03; zV#iVO&!7lfcPVm611{qdnJ&}-y9EOOdjW#)>lh8Uvmv2~g3_>g| zEVwLlM`%zjE^n6qA#WMvy6a(eGDp-hF3psoZ&vXGnD~A6PkkJzb8FN%+cV9<+aT<&z7(qn3LR?%J%+L&wGHu_SI7p0W zR>x_kc|dZ6Ey6lh1QX*N40fD-%hWJegm8n`TF&9EB-qUR5YC4HWJdQ6$b%lo=}}~# z)5qv@*Zo0ZCJYcCXVi!2Q{E=320kl|{h*5$ZZ#_0WN}BHie*U`0EMMvSW@D*E1xDm zI00aeGUDA+kmapOAdpA5GIJaob~)5?%qmSj9nLUTvSKBR{vVlh%c! za~C8ND8_B|zEps5kf=hskgfa+mJP5xexnLAvhZaDw9tJeH!c*>y?3F5G!Bc_PK1so zDPYg1xg4!stx2cJL2bEn42mdm;Em|Gi#ru=?f5$g*UOz{>}79QpZ6aC(m;W?2HZw? zB#VQi;sz($vnhFrfRRQ9t!U2KIKxS#xXSPZ?Z}A(;JVMzy7JaYz|PW_mnTzn(n7d^0GfxyvIP7tb9$~{xRg)<80(3gKa(vlOvHknIu-N`L*azT z77m(-YI|fE_3q+r+4=6a-_Qw}dmr%Ga%BZq02YT5G+IL3K+;Y2f@!Is^mzi+^U9+M zb?mywvurG5BI=X&t}jj#XnvsORd_Xe&V;=AAe#q^3CTDg9pc`h1*HXm4*ETru7)Rt z>Q3vPj9t7D^XSlv`oCnWyjyT2V}v#DA|^8YZ;@TH9WC~Xt~=MuCS7O-&>F^xjjl{f zWIx)mpW%*dM@ zjVs`yHXMJ9q+1$_3m9B9`V)*M1F58&BMpaxM+i~cY;x&!eZ(>%7Z3ncZK83gMW)XJa$2LyHvLJT!yIoEt_p zAY3DakaTws+A-UUXnVBj&IAC}RMzoqatEs)0To)l$vG1%%K{`JjMOBd;>qH{Ou2E; zO!)T;L+(Ln#Aqo5sGuD#QZ`$AeVLWL)>4G5;@n~-+WeQ`65&h>G6Q>urpr20a>lkE zd>sx9JTJ;4$V1OOhPnBx^Zj8AbY|(-IIYtHLKK6>*yX7Ej=F>8hkJXT{Mwppq$? zGoGgFDw?>Q_23bbq=~F=rgIAP)%HhY$wV$podc#->qJdh?_j_H>dn^Lg+IQw+}gG} zCDn>@Cpa*FXs!n~AH0KJsm^E}+kB~@FgADLC>8k1se{LfC z+f~&V3J8pepCDp>4AboA30|z>)8ch&>S zK^_~oPmrQzT(bcSCRa!*W$&7DrWF{;8SCjmLYJF^araoNT6153FjHy8LkT(Hy?6=9 zG4Cb$I8&0ZuKSIT$IVn404~%5hims>=`^r!2B)Q6QCISfRoF zV7hTKUSM2kv5eZrurm~@&oZs1X6<4GouAeL4$$+Tb9o=JZ9ZDUZx6bBEOP*OsQA@h z@Un$g1^a%?&mx!s?Co2FJoF3#??JpA*{lx~g^Vp0o7qyp)J)#I0z+5fi|*Z({JFq> zoRNaA!LM0zuy^kz0&H?xjMr7_W)Jiey| zy1bH`zpo0sVoe zqjm@3JMMNi8Of!CH&(@NSy_aZFngST*RFT(=QD#W$%O_mZ;s8g0+owpK&~{2`WB&` z?QQ86*7z^uB^cO+M%Pk?_U_qvqDr#^d#B_F9WXW%6X>}V;PvV%b?81U5Ct1r=ZrAI z-|lj=zm%0yat0t0G>0RjgdZPL9cOZ7Ol23H8$#n0N&z{1)Pp`wtoF*B$v~jLd_Sz%HEXWa`;qY8?DF)@i3r%a`5`dR1%r-aC zeI6z|d`f)Ei*y+nMuc6yndy%K0oUP;MCzQ7tv@4k z8$jkwk90ipOExgQi_qU`)sIsE#r0c@@D070_kq9K0N>j`C_z*TSY3i~7?h~QuH9-M zjL%2}D0Z+Zp8>2t80LzfoM5RvW*NvRfYGNTnMgo-caDREDlf_6N5g6LoZyyPLQ;p&Ag@au)N zWtH%XTb2tzmaMLNJ4Ocp`3g`%xR9jFC19c-nL8G4E}&RH2ol7pdgSoQ^Z{@sJ!o;q z8-<^bW>{hUd~4LsZUikls;n8jKM=x_^QGw;9g6xTp*KoOQT6e0@Jq;H?_pqpV8={s z%Sa35yNg`gZrHz2mtLCs}-$z{s{CQHcfD1W_#g>=hguBXJYct`AKpF zV2UnQ_hLeg=84)!kU-}^rT*S7ct~8*7r%mN_RFt>;|lUfm7~1}%ptaCFlsOw z#z}qzFClfPOXv={R3Q?r_}20iEuJV@R{}KUYDz8rNRg`jP|yi}>g>i_4ZT<*gAHilOPWmAwZv8n{wT=v4z$Z^H+H5(cCMd?o7o zOecw4&5>zxx&+$;S^3$ivd=Fmu|;p2=6X!fu7YymkcE(jCWw{$1J#)(l;RQ)PRv2# z%FA}X3!>mQ3N9i`P!>OnICq8}^U?+)-Ip5-mC?GN)lY z*WqZ4l$=0H>0*Iff~FL@Rk1bH%-yt+E{c=gA|DBV$f8{&S(m#iU?l}BV&z>5>=x*# zNFGR4fcNN^nU`on5xH29O=9G_p2OwD%1j zp?S&49sKCpoES6~$YIL5WUu3rdb&>(iCH4j-UbQz3dlqn+c-i#74bi-RqD)-dOpb; zB~XivM7g$sa;NBBf`uSuB#0MnAbz9ra!(DF|ICw?G{1X=b_ye^(rsX^DZnj75p{0s zle@X5_mU$G{|CHjZ<34!oDqXTH5u8XbTxXHB*06PNH^OEgz9KZvHg`~`J4e$<*r*l z>*qw$XWYI%U-*V2Vbe61K96xwI!|EhzFKPi=rJ-v znni21eVHH`h1&O7(^t9a&ny_ai%TGK8B)E;F5}poHye3B@>aMxYyW-FJbYg_V&|Mp zb&~hDh~`G3e!h@DI_;3bFekWnv^M6v0ZU9C<1HfoGffUk<93*VtNqz|4DC29TfQ7c zL-HGOV)O3fyv>M=QkL};-&cq?mM?-5%&O3S{{#CMpf*2SVe+l;0qloTInT{-@cmWS z9R@cm3ta)4cgXKgr`fI`pyFA+lHH`XwZ0B%WXN)0Xcm3~=T@oo{Y7Y}rCx3dG-NzW z9^p5uz_5GCe%|XO=;n!8dLJL_!d>2X(39xF6WVHYpEH*0ya~%i|IZn4-TB)Mc(f?d z?WI|V1eEr5Z8BVUWqiOjQD>Kn>MCyH9#B->#6g-(awPM&W4tRvPy}h-_MyIkXGpWy zEU@m?wB8t;GMO+NU`xuDl(y26J5DojdOxQ0n30@Au`yo**Ep}8kPvn*6o7Qp21d)AnBi({~9 z?`p^XU8hLfWpf1IfVi-_VF(bS4$#JmE=P8YW86CK5mGHGmO%K#gy{Baxa+pDl9EE4 zCFs6^))~JxVizShsci&H<;) zSeg{6?(3p@$R@JTf8sKPmP)C@3`HLlsHLRSgsAUUOA_1kH4TLVc`U=Uhhh7 z+wXjyGX=BbAE5wae_cvDDcy)7*={FcI7HpD(xUrEsz$*SdE<(8{ik|<0g5qfk{_d2 zH`hfCGpWrElW(^r`mu+&p2af@{)RyOT^<~revb8N!8UZ>q)oMW104)7Sr1S=rb_NC z`?;TyuF^Z-!EGtUlmnMrA;$=ky=J5&6Z3ewmDrK$ za6IrtEJ4dp%##|b5lUV3A5bbyV+lW z6O&Yf3XP>;&d|*8u%^0-NnTaObAy#06#Ss3fBlEJFXUPvnZQjD1}s8Dc4X4<8k&(o z?F9pbh%cl05>dqg3JjtW4KKA~-L0ONzDbj}_4O5s&MdkFYil@h;51`sUWdj?b4rr& zLPbZ0pQ-1kI1oyUy=k#^;cPh4MSBra4|ehl1Up1_diSD~l>+&&I)q4KADmq>nN7dM%4>b|SlXg= zu*)|}Np?~W5&V$rRM!YF4CSLW1kBM(0OW}MX=Y0mNQ!6rI0vbvAM8F2<&t%7Ng9?_ z!ZKF|g&hzpd&upLc3tGVS9dLW8>Zh6xTa}hu<8x94yUQ1V9=Hf&%KMYsE&JkDJaTg zZS3JYfUY2Ra1*;Ggz5SF6*g=1weO@p^jZYF6dcyz8Mqe!Q1WG$gs7y>Yk+jAmEGBz z!8?D2kOjBO5Ej3eh8P>bvr${oF$^x=DZk$4Le7oLlL^cQTzctF9&NrYAvC8R*4CADw+RWaC8wQ+B*Ve7WFR` z<)m$*`%QPAZz?@nEbZ6cb5z&#kg@J>%R_9`o?Hw2_w+mq%srBoqq9Sy2tKRehc?KV zLDU1p*aLqJ(W;TgjA-pH=_A1rk=hk$?_&B~tQF|$DqeZpfD4?h699+QyO zb?xDGd{D&ESWB-JLlh)NnJbZkkhhlmDc5jG%fJmx>u})94YJBnVx8dp;D3Uh$6-}OJL(x zq3&nz1e2vT{K7J#bxdXrB}SQQvIN8V%?51L2_uPcrlCizb5HNYaMrw9^2@FevWOc) zxL@>{gq|tC_M=-T>1xgn^7XwG6#Nc+SyX0dOr_53Bs5|_7OE~&s#(Bf8PS)+y1$dK z$hle4AfS|#wApQWhR8p&a0+k+fO4UJ;i+uSc{xCDQLHhBk)UFe5zrU`C;MW2R*>Fw znw5e(Qul!65Ht;1GO!lfR|`kx_i zsBsa6C zC8;uwf@v}B1tTG}!5M+#fgMt+Nr7L6MRvtE=|L7fnxg}{+ZS4i#i7?+Rv1;^_RWV` z>yT`AG2ngi=|{06eoJPNn~*B10t#=dFft?KDJ6|~*ChP%!q3MaSKwWN!ZoArW31xpkd&2DPxD3=`>t zx8@B1bZq(8Q=F7rd+bN|BDph@u(}hl3Wv*mQpVp2| zzUbqP3Ev0~)uV`AjSZSi!gE`G3Mq?VEl^jul&Pp>q06TSw+MFJrY;Kf`Q)xgXMfHY z(`mwJ49o!06XCcEhE@Yu=aag1r(0E zK!yRhBp=aQ5>mw=71}T9zdJ6Z0cM*I&SuAxAvCajm8MpRJz+Ej%y4WCq62kW{nqp- z+97GHcV1v<+w@YqG~0}$>%EVZEv}i&bsv_lwJUuYprE24^9@p#s3H|KMMz;+GzY{|bTh-ndL=xFLs2@nuKH7K|~Eaqy+ zbw_OLq%V&ckSe2l@5~Bg*bU*aGhS*ur3!VCM=QB60R;GNe@ck7f(d6$n-xQjr1kYU zaRTn7DGFZf!jHB&@C%~#<$x3YFKbh9G`R&2gBT-bK|NxMrVFzA>7abiP|;y+2NC`n zpGqV8R+4(SR8XQVm-sdd7>|9=ns1NG{}eQvE*nh2U_|MQ=I~rN&{u>8#}u=$9qV!v zOc71Yt4C$#!`cNXp#laHs0usvXRvj>88K|ZxNGqo0Pn(ylTapz$TFP&(}^Z(gCoaL zB}t`2ux#3R+sS_FJgfB&l@Kw3mi(wTY*ckTREQLZZg_A9uqpTq{pK6VK9++|u?v=l zQ=-k6WEBhcnk}l@a2>r(iWluOzpg?sdUaL3ICed0!NGFhojcZOtp<_BuKSmzG7inP zXwnRm;7Vh)7eh3EyL%ujbCnr zTW1AYH~dysz-WNMXnDz;feLfB?;{tA@SbyB%R0|31WEp<)~{`+sFlGA`kV6W?av)HYVC+D~ITft#rmeOSf zX9Uc`Au%7C!CQ|MPV*8tP1SS36;*cW@Jx5)%sNZ}bFjc~3(6@fjT)p76F|THp0St- z(4&!*AmQtIai=%hx5cX9K53Q60W4=b4kNa<1*)i)H9a@4n5Et$=97Z(lY&SwVZZp# zZd_Re60>%D5*#D=R>W~bO=dVv0{Z00?7#;wyigDhX1_HAMxZqzV#?%AE_AN|`)LQT z;8UXy!`k;j{$%#XcSTlqysgCc3~;Udq%Mei2>H5pcpE8cZvMR<2Tx7P?B1`@itzfC zIMjw~eOMna^M#CXI;h7%3MnFkVKM&lcBfy@-m5OpXFHp%@QZhuOVJy^`WcC=RV%da zoakB!!=c0l9ZA4v0#KK!9UWLd1WMAhxLDcx2pB z|B69HBzp>^{@BbK20Q*b^UElP_B?RjFu3=j2SyaKq4@=lRu62TI>ergyonPfPLtf= zL_>`IL`g0bbS_#RbeYR0F<9n1*&Q8F+RrUd@S=P{=JgN%Ak8y?+(I{#kLHrL8BS+} zs;$*kqsai9SZB2@jomlEdpTWDJ$-f}X|6{}K-0BOMlqS3#j2K}e+`Fuc&?g+3QM;A zCTKup);M)W)B8R@6~iiLnpmtb4KDI}AaJ^wO|+;n4|v}PSiD_J{nFC@je^~&cNf=1 zyVhF)p&==t6IDpm?CkJXw4uP6jilEG(*wndU{!%4oFgC=0gxWCV8P!F@FKA28$V?2 z;9}G!8fu}y0CCc-=_H|n{|!6p(VyZig28WJX#=ReFF(mlcMDPxt^U3Qp$^ZlDc8ZE z@#aU60aWI`CXJwoMkA`Tx?Y_05Mi3&J?~6UmPOSNG1Bz)3qThgPszfB-I>0NmP{d! zrv|GW$%u#Q)A0<7~PSE-P|I#R%41Vc81(u^UGqTmx<_|Y~8TizQ)2%jo`58VUK zoX8>sdm_liaYSi`ZVzeaiUEGL{x$j)Ho#zg%58*a!}h&3DL`}m z4fevbITp6_gQ85fpPK7*b(d@+0a`?p;ci?@GAkdIA+wy@fSc@`HGrS-Kx#@NvC%IU zWaC1@BnKmn!Zj9X!Et1TI*^9tfWRP|rDX6@EpB#ZQu~oyOzhrw%*jw-)jj4EN5r7@ z@4`5Gm}_E25(f;y*TV~3iO>kE?9$#~K4wHkafzQ?OPTj{XE%PWm|5RDNG5HqFlG@( z5^Z?j2mz<|XA_7des*0E-P4zHp`Lc0oV9p1YkmSyu~Ty%0qp>(5IdXCfY3vsh|J29 z$IQc}2k^t~4=TFQ6^!FCP$UuB!L#fu=brgQRQwpCv%zZV3l|UK zBAmO0v}+CXD!yDc@K{P2Yb}(sh^CM-$@m2b#C4Jn(Mqry(B_GD_gV)C?DJnzcEB|U z20P1Wfqf0V3AlT|fM zp`5i0e#N;`4ya$F9X}udPUn0^w;{lj9K!lm;j<*BM1|xidJcWaC)yC&(?w(ois=5J zxOU^9d+5(WM#}~hTi7R3r?Y(Ow6%gKxgZJ)-Pqe?cJ6GOz<$AGL@ zU8Rwcp*723vTw=47Pb(DDppU#AcbEV@sb0!0HMYfaS?qO)BxvXSlFNH%VF((wz+hK z@ibmnv)Ta}0e0XgcvyFurnulu0oV(sijlT_SdP#q$kI2r+3L_r2ku_BGwaj}1!~@V znN*mH33Qs0#UW6bhRp#|wjt=ho9&&I>ThexxSq@qV9n)=i?9@K8Y5po%J%3m48vo{Y!CdRJb{Y& zP@CJ*l~BR<-fy8uTFU}k0q(H(6%_AN))Gl+Y(O!EOJ|%$w9u7M3V3d`Iz4rbPGXK} zUr4iVgM5Kkg>c{>Z9Gv+H2s!D_S1*y-nI=un@zm5D3|Ss*hW&{s&0o|z*?UJgpTkU zDHMCg*oX?h*q+!vV2*QnW@q~tcPYojM^h)tE5Jz&WmZa9aDK~+>Aue<2~k+(dHEbp zWQ8<_z@-4~UqKEUr`So*l+nYlp^yNdUPd!M72>#-c2z+#d7H*e2D5lC++egUoW-7f zTtX`G*TK0guq-o)MLw1M&_js0^#FPgTkI4gTL>17OMzj=XoA5$pJGehmq}WEGetvD z;ezYq<4RYDjhPi>cgr&?xv^R()nej&|HbC|-c z$U`k~W7+U*0$nAdZZDzS9ZCa^p+y0(hhR*Nbzpn?v+*Vg1(JZ7_f_+uMlp@$L zMdhlg6{LrfpEVe=BVKs`jl)rrdKGi0`v=QFQ3Xb2#E@rc^iZtYYyzcEQmF*-(Kh|6 zpzp)>OsenA^6@;H{Q8^3kcXS&o$Ic|P^@1+ zU<~PFfPL1;DjSJjgDi5XMQb=#sn`aZaXAogSex_YBKG+0*)p>YBnwzAyL=IT#R?v~ z_%j$ZtcfyKU=)RzQfR#!n=*Qe|H*9tmn0% z7FPaorkN>!D$QUw7nkNSXTIz0cPNq7*4Q?4nwD1A9t-=XEiaQCG*lvu@_(n3 z4=-?2@p_ZVJ@fo$HBpugk zYqQL!OVMeke)#P)Q9dC*u#t?sgW8Y8yaPHA&NALz!~18-L+afts3Uw+cy==R9vn<1 zgjnwz;0i^fcQd>DfkX?NzTcLCi{MDB87r`yd9fNU<%UrU1`3@#x*6np5kf~B&3To} z2cAT5zqgm$+Vl@_oE za>YED+mHfcFywJu_(BF1X7WtB3(gk!!d^F;A-fWh@^Kng=(rOOZ)@YGRIP-woIt#& zFoN`_Yoxh*N~Br5=$no84X&qWO7bqcjtD()7mG`=K}(@gV6UTbd?i1tG+sC^X&+Sh zFMZ4*B(U$7uzsJ{f@$76M^;3gINTV*G>Rz#h&f*78G4-+e;TwDU}M?r>4#C^26EC6 zO=cUIo%*LlO6dlDHwz{{Xt_l@XLH`qNHZP$nr^W;u<%(!^gIr+m<$l64FO+RV zC=;lNqY=zAx@X&Z5aT;Z6NzKJlDP-{W*W%X?w%}~_(uW4JVJFneHRSLq|9G7LmNai z-wfoMS;89kSz6F(^H@g_Q&e}R$0Tt5Q21^N!@DXT7ZCglV0fJogG-Qoe zBcVC_*-WeuPX-9l5@9WNc@Cu%bJ^32s%P4M>0iEo72RW=q>0NqeIkTg+`R^himoMn zfr<~Qr^z|}P1n?eU##LPf1BJh`lRn4G=z`Z%r@D3D?o)+7K{A${ zObxZUw=b~4$gU+%>Yo3b^j84uL7JIwSj8?Cl5Ji6Cr_N9$TCkKz`&D>kb53h`p^_1 zQH4$S4KA0|2(A+MQ}M|TJUv|{sBzE0r=f)rA^`gkTYsHD!;H@!{cT-8eKiQh+r8Hb zy0mQolypCs2Y(oA(>*(sC!_GJ#kYWU)(V?QZk25jTs2NMo3~-aEE?>F<pDntq-#pk-)5UdQK@)#-lZuE-NRQ{$B*ZY0fXns{{3s+IK3E71V}Rz;SCz- zoB_l*wF7qaoa$U_J6@$>2f-_pVJd~w!uS(ggikJghAFK2Hu(xR{qitMLPy(D&v9%BR`{w8MQ5;R}%&CM)hvQ-e1PH-Fd2 z{qdXszqFB7S3iEy2-Fi2uh+3}j83y<)5TjaPQ>zJjr&&Viv7z!r;!Z)zqC(ytZg^m zZ#g`C7+dkak@9OGEHJ^50h6EvnmcZSbe)1#@gWPf;1TR{LAt79O#Yy4BpRK)s-|tC zxNF>}s6NFHHiAUskEBev!*t+md!)bryZvVD;&df*iZu+M%ORzqQH;>w-XXuR^YHJ- z{-9=}c!!!dLdTry>;>Ore1ZnB!2!5hq~EN4G<4U1^HZUWh4)phb z1Po&w3&%aX?+&Nf34)N7fRRz~CT-f3P}x2?JHW9qc&$C2eU+27_qCw}tr-6fr3J`8 zv9%xm@v+0lkzd-ydT$IQX>2Qha8$%~R&wQ0|9dc4Op~4jp21rwVwbM2Dzp9G-+wX# zyG05e(cmP?lKs(Vq4kR&H^fG&H46;n4R56&(aAU9#@yb~bZ5$$XWh6gxv}V~x6?Ejy~{#3{ z9EAP4FfAq8{-;!s#_$+7mNSjy#Gu!6tG1#+>PbjnY9>GBex!i9+s?w6U|EP{0usjv z>5*$9in^XkXtT`$wB$4ukvAW{3IYWdU`9{w?;mx#X6bsw>XagM&ZLthD8**h^lSY9 z&4U60$qQgFy-&(Vb6Ct_!^hKhojCSC^#&@f!CTZP*fA+NPV4+Tfyy z9GUG`PF9>7Ft+{u$NEL=nM3wc5}*qs`bc8@BL>5I{xunHF_wiD*9<725anrC#_Rp@ z3S23Ezw}v3H%^2?vmuo9U2xk^c_#AzlyLOu5 zPO%-{l*`qHz5lYmzkf7-YYBN!mLI}8yYOPL4#vb6RMva{1o~6e>-LK(}ft}((isL-IKwqQ2J?$+8bGZ*Au0sKHhb>>G%TZY8hVjKV zjMNDy{?rUY61gmBl$#dx@ZMZ(^SQ`hB2wm|Q&JM`_#|!GAkfe#KpS*SL64m9A_r>p z=7W~E`J5CG^cT%>u&&pJ0zBL$I0+`6DgS=B|F`3D?POvTZWSD8zoyrXH5@Xb6%EU# zqI}r)J5$q{XIpj-;hg9;Ak5S}0Lyd~Jh2BhPQIEAOiBP39Qe8S4)n9;d)?I-S1Dao z@M-Nn;UpEpZ5jw7h`Sy^W!zn<+eIr#!EVbQqpf;04Ku!F$SlqMZ*Lv~Ec*lQP=E%B zj1D#eRl6{)=s7m+lf5MfJ8_R-`$Pw54w{EpF$JkHo~HpHihW(h@mcTZNW*qkh_t?6 zcn**i&5Mx8-aEU*?&!j2Y4Xt=rPgW%Os+Hfnev?pJYol2;OpFgnAxtsxzE$=XW#YX zgQT_c*r3P)zEH|x_dV#J$TA+a+0Vci4jKr+UKfcU)-K-#WVZP+TMh>oav9LCBN@lW zq51hj->4Wgl?y}5)*-3jQN>Fq0<#qymc(5dNohZ$_^^+=+X zb*2(!H2Q2Re2ItlN?z9fp8Kx^s4$Bvf>~ddZq+D|`%j>Cc6$lhnxWm7-!1-DZa6*Mk_W=Xcx1Jclc2udXT~tLL$A=;`mDew>cLagfP8(qlAWDS=O;4KH@W`Sy9=?9&=f zx9)n^#vV!v-w1tUF)5qY_Amc8+1)g(|4=AiMq>IKLq`ha(4Ddat+_UxcJVI@c1_bj zwO}3md2OB3WAXgnzyJ6tOMtc`43hOp!VK{CKfWn%(+m3rf=8XJH$%RQnF-v~idjs5B zx~295vmG(Y#3ZUxR%uVPe{EAZ4Tbu2P-2TmfO&823as_-aFzgN@v1u)ikxU$Kx0DP z1s?w<2xRWv_DZ(q`T#oDU1qbh%l}#6r3{MVLXr9~*=dbfJ}CNN9a=K8mfqC1)+L)z zA)oE#nHRMDlRbK1Af{o+%`?gf-&R=dvqc^5rCrk3KMj~^>)X}8>o0Vw6d3?h$~m%| zTr<@E1vx`?Si6h}#t&OW&yk>i$D{sKP5&r?2qvKZ0Rt%@R8?vlTI0Twx=rqyzjNep zez(P4NFHZo4j?YGQr7i^jYulI`9mnn{1QnB)2zX;M=`epx!0 zqdXnsVE4%W|j7m`j zyJLOQba~6|U)=p7cUF<{3z7289qEkdn(7TFBYCO>Y^FdOY8EF1F6RYv}V(0{o(TlKkTR|22BBC7ugkhB6(z95$#cQm zzPW7gpRIG){NbjSxY8VhMrw0;w>bk5wRX}mZ3&K>_e-1U%(=?c-LE8z z=`0tWo3=|l>86>=eJzRQRTd^P3ywH1uv%eZ^k^f08)XWY&AgJ;x~h zQ7cwuW0G-CN{jK(?BPzW8bPS)s$=+5Gk==CG^+XzM7M<-S$r_+Gqgm|L0Vag_srK*B^Bl=` zi(%J-waaM+?5DU!GC`PS-ZU@T_pgk?c8yghOorS%)t>cB|0BER(}75#@sx^J z8f&QjA3kA*wp!%%;wWygu;;GwI9eO7uB7qiEI(N$vGMP(b4IpMqIb zK>~C31|lz!?*~-N5nMN1+9Y(Khogr=Hx_Hm;B2clx!iyfV{Ehyo*p7&0Js3d@32B= zMd75)ku}kdk`?X0>>?znP&4 zQ63z=diL6bCZrdU(uRA2I^Gn*WVqSrkSCoQ$XZ+5w)4y%aC97!3w9H(pY(=C@Zjt= zzwWW45Vv=<=W;NNs{BM?*6L>2lG!(P#CimuZtGruB_%}9fxEeauNhhH^gJCX_Vpn* zRTd-53^$H>dc`akcB8v%*q^cW$gw=Cz<@>yLuyffqjkneIYa#@+M%J}pcI{^Hl{pp zQiuypX76WYg09h&xS{pv4bA*6k~GYX_Yz4b-2%93j}bjEbo#hNdd4a83K0DFv^IUk zHBh3AMd2frRIIt2t|*~3d;qi%!{&X&LYBY{Z0y5m0@d!Z&t&V)0YA*&Kd@cN-g_0r zwfkQk>YzrIX&U-Vb$jZh2u_*RPF4Kuyi(87xWY(e2e!Ej+fI5QuX&k3<)5X%e~ES< z%C-;{Jtm{BH3@Z?iFCq^bOP7YH4zQ1iP%4tQxGqFh?CNy_vNesgJ~vT&YhKm_k+Qj z!{ol+4EDG8UpJvq58R}2#uR&u(}g^gean0((;<8vG{6iEKSDJ>LZb$^B`?Lv4S^mZ z2hb$h9-=}N6wVWTmSX38Q|S>#y0zu$MAk6M>SHTPG75Q9hqmUFkt`+X)~N&Grj*gT z4xwxKK0r_GsX2?xgAcn4k%v2rxMTsTginH(_ywZU@wQY%+8R-Wh>rpWiU={S(=U(W z>l0BJN~^M-53?ub2vAEAIvIlwQYR0Zynk(@3xSAMc>?T;2f}E|cySN~w6B;=V62nP z8rtXv(C0z|LpAwWVIO%MemA7qLk=F;Pv0=Y=Cg_itXc4fo4!aLX!dY#<0977d3^A3Ma=^VL?q~!=6)k1c0t+YSv18%GeKv*GBhgeFb+1SO8dN_*)08)2=j@ogdg{vf35iN_?u8%7D&7aW^r90X z4Cyz8sVq_u7+_TKI`F8J9+e0?4}P+xp`_M1R|7ai2>T;ohJEgIz?P# z(VQgFf?;`F9B72vr(Tn+d8x?@o+>v9x)+h%_0Q*WWSUz-{F;cqjev+2%|W#e@*pg< zF)y#B&y4f~AlyZB*5VAVqL>cVqOAkOi5ORBz2Gil)w@cNn)TK}4q*)dBOqDEG(l^+ z^5R4`=NC8X*NCtOz-MA=YyUInRoY&hnI%OcAbp?$AZo$0A=6j8_`^0VBzDMrU17kb+J0)&B$YS4axD)VzYXVkXmF>c~S+71{_Jjj)~!f zW)?O&nnJ3VHY9&80!))2E);Ij&kIk$&&3wrA_nbaX^i;>;Km9eSwmmrqRqTOnBZnd z+%mB4gqqv-K%zHYG^DD;*JEg~7{Eh-??xmPp(9%u_DI~FsT~!l;=T|ye@$m=ek!%G zzz;R4(Y{fEMuCYh0Oi=o#)7*XXm!ku>iey!uo`o7ULJHTnJ$IwhC-Kt2W%P`)d2l= zWJ!4uJ9l1^1vOzH4Wdn@hxOzYy=Y29E6XkPH@-_9=#zMR05r^`wtd0`vA6`^(WVsc zg?=}1iH7R1O5YnxyClT-D@ubBVSP^)`eEQf9YFY*o{9D%P`>3yYwxum6k0#p9b}KL z2wB+E>vGHSsREYOkO91%MR6Ea%5s@+gLFCufiflh=Fo3!60P{^so4Yp4`4@n zjI$`2`1crr4cg0q6X&czB`26?fBwwvvrN#g8BE6mYZSK43KLxWv1lXuK)Q=6n?5NA z=ddJzd|n0$_@NX%Z1$yApj#?y#;|i|lvq-+3qB9@+ip-*6<@`RtZBIfG#NV35DjM{ z`}$iC+$~4KG*Z%lX>W6Y2VjPM66-wT!1}q-B=>!h7G2F znTZ;c8;8MieZv<6$B}kQgOTC2H!e{zu%^f0f546KP}K*2=E}5m85A5qPV9w7dKI zY6Q_2A}a_SEUf8;1HDC_Z$@s!G5D3>7x(iOdO>@MW;|Uaw?B)7L?Z+j)(_z5LvA-! zw}9BshFFQrqY)P>5rg65nKIyf+FZ%O1$YYY2b+YPTiCWysA`Q~lNaqd*Mm?AcWs(S z1eS^F*tYUgG$vnN2WFECOj>0-aX`~1@ka%Z7DSt&fil|`*@-5v`a8JHZZlmm5M2{Qzc&bwGbM z_oGU%eSy#3cEb$b&Whj`GF=L73Lg*c8Oa8+xG$s^ve9$F&5C_^sGT-1Uwq>VS^AFfr-a>LS1Rq)V94RfdPu#LpvGU+K17E587ZB$&%&BV{W8nMnrGN0 zT6ttKB*1sDRhhYMe)D1 z%c;Pd=)D*ae`u(5sP}#4_E5Cp;>5QQt9lLIa>_qa(AI4Sic=sSPUAm546>#jCbX}h z;!fLDIS$r*ZLmV~Z6Iy_4D7ez1#W8iIoPzL(R0DjmjS;nN7zJhkdd_XS%o2=Nnie% zqkmZ6YGG2c!oO(XiE$c4mH!Pkh(8NsIHx~$x#>@D(J)8&TPQVawP#5RhLJ`5&`(eq zW<b6W;LGl8~P(c1O=ay3gMM4FE1qPid`gN%n@k6p#B{ke?F}P&27UI zF9zFC-BPnkdjRM?rWK1*1*i}jC`=x%M(|WpHdr>G>%?R349fkZDxErtK>4{CO26~M z7zBN2W8c8H4^K2q;jbDM)HKs!7DFLf?!V<8ko1<6<$*=s!8{8}5>Yj@ey2cO8=*#H zh2}9GNVcRy3v}OMhvV3kLqyR>S`aV^{{x+{dls1%#TDmLw?g|?n)$p-fsE`MYtO)% zaQkkMVYC1n+}2@o%LMDHW&7+3xca6IoWxwL(6aRxTQTI(RD!S4P9pfBjphMYn6yj9 zz-w0`*W*?4)xb=dmz|*UnGvB+W5cXK(&HLO3TwVk@C((^?v*)Hv9wO-P1?@mvygBW>u za7=|1?M3Y;rivVuFE2!ARRwDFgqVzpGl3R>V`z0pD=7{tEg>uGV37kRQ`--@l4cm! zv-J~D9fJ>#pxVow0(I&5lhNW=!Tu;DB}Q~j7n5$Bsa|%FhDoeJn(&&6AKD@j+U+T| zm?`R?PB!AC>A$u`=$8`P)!_fND^=A#qkV}FGrdqFZsUhA82-)U$;M2>1a^}X1TwXE zie8F3(Wh`f^ji{Z5*uB=QmE&4`wNa>3a-=j7F|VbQw-i0e$G&#!hSk;AeM9%%-l7hXc_|bOjQ4QPH9ESGamv#0)D_-XZK?43jAe2~6 zBdXv>+vqLZSb8f9QrNo+)PhPr3{IZOkz+{?FPA#dV7dKczSJ~0`#TuEl^pz*K?!5(sjJRWGe8zFc zU!Z6(NSGvPVy?5u%%$?^sXU?3* z=2mbwKm(G*0+E2lT(EHTpBWBj4fQ%^Ca%E!fE{l>wB;s)$i^uk0V5cP_ynl9M;jt) z!!~YjdP3HMS(V+}*D}MS3PE(2lGB<(n0l^{s~7L_1<}7(TnwHE*y4rgY$_TWgoj6t zzKP%7U|MQJpe|LtjFM^EgnNrn|FU7d0)%9Ym~~N8fOkK#Q&4!k`p+K`sjmEHr8VX%7onLXIENkp2coW$E zH;J%debf~sao2wabe`Kyh+sWh2n9N#S!mmdDo%@yLSC|nplDGMXI`OFGI;sf z`%uFw@*JH%&(%6TIM6E%-~Bm)v`*o~-Sw7^=~{_Ks^VN*y%LU1lSkWtT!k~@fF8la z@U>q3WlcNGr|h>J%uJo^qc@gBNiteW>Qcsf1uhgs63gJA$r`O2&_UlypY>22JUuz2+<4h?<- zgXTnLKKfZ{f{fyq*TVD{W|$j**SsYtEysDYnpE3~w%j}4;Y8v4H z#ylyDj)bL=kq?706`z^}J@)rgTf>fN>H@nA^~iKB*BLh83W6VQ6@Fykf%$7CK>4IpfMI;}wNC3o}Dl0bwMI$juI_pLy>Ugv+ACN7O` zweeabQN@wYeeK-=(DZLQ>5ZG`gW|fsW zMI-mI*kL$ntFQm6xxlbCb!MqUVG?3z_Z)TLZ5&I$taC{H?2BeWU7YF-Uo>9evD|05 z&m`8z2zz#oiav}@I8eM+(1*FhZ7^7IWpU`Yw67imm3GCq1O07CO+o6e%#poJj~1mZ za4&&Uclv@LR2z6*OO^Of8c|T1QNkG46;#n4@Au9bt}x|?D-EY1%4RZu253i8J*^>J z5Yl+$ne{gt*jEsH)VQj;HA0o}W=2}Q_!Q(&wf@kBKPd~Ce#%{`cEG?H5DIpR1QO>= zf<%e8Zl42WW17e4hrpl2pKcrOcq4Gbmhg(JPd|}Rr^cyT=-UO69hAnBZZ^C{0KBw7 z86ci!f|XnJ0SuQj20`aE>fTr&G^a))3JjzNj9FTUg#e_9N#>oN>XuXeXf~k~1Q!sh zEjI;bPUYYQP!jqA7KuT1*T9b>gP?c>%Z&mA?mP)gd*|O_L+-wK+#gBk*Qg(gCPVzq zpi?}uGKFeW#qkbBNo#_`e~Pjib73-R0z`oMgv2l}>?!agUqf4xnIcgknL*&<;kuy4PO-?X21VAy!M& z&q_^Vo~71IuPM?X0?juF+SMEeom7&0#$T?dr%snALAk_NibGXoeW3r$85vI%WyWMm z$Pf!Efq`JtK~Vovmolz@vp&{M^~Q0^Mb#?|*LR;0JWL|qMH{>t-yjUN?YJYRx_?#V zif)oz=7C^oK%y+YNB%0&l2CJbKU`8<;`BV`^FAPHD0Em)*M7)5Ho4pB|1TLh<8uZk za%)1RHSp6Wbar<(LsZKv4qY|xO&o7Mn6yhRXwgK}{&S3Tj(~1y2WdHSOZ?FonP|Ec zLr3A!?STB*x&V%>Y%rs&~8;ldW2Jy;+0Kz}#3V7Udp@eQbgA+k=-fEjAF6he4vbdM26 zZqf`PJII{+4*{SOE##l2ZVb1Ts`LL`P^X@m z*P5X1KQvAXNT*ilqS7$bavV-l{#;N1z8A#&lZKHs{!;KRw3vTYRgJon9f20wFZ9y> ze+7CPWS%SbxqEB4oK8dfRKK#95Sqr;mZZFhAkSX=E$a@wzBEArcP%eBwD<%j&21Yx zV2x<3AVi}ZSH{~$Sqy#ILyhug1@1Cf%y^j|{Iund`s21pabk$?rU~JD`{!$X|k_OkM z;3n-?3)_oGm=&wk?fnw9|G94R&*xXqyjkG*E5j0^oKV&{AE3%o$@A(u$>7bFca72- z-pw_!2<;jPFwW?YmCyyd_Lblp>yy$u$I8yu+_oaFD`Vlk$yrA2{!7COb?!T0cW?b> zW3fuV>HL?Hkp>9sJ>7psEegvZCG9zU`13-V?hsU3XE&(vU2`o`cS)Q|U4=wB+z7Q& zMw8P60!?J-bYks*oJd<=;OgGL7ucmVAN42Qe3(}tNl0jT>eDrWp#F9xL@-V+ zY*!=H*6W~kA(96pk|f8NKIxbufzCabNg9Nq!^cq3H0Eb9r z&wr!gIRh(s^jd47N9r~{`AXoUV+$!RjXwm5?gojlHy3P! zGKfd$MOT5%#NG7@RiDagw8XhTu%=R11Q|x-m}6r3tcZpSWD#TFgTMl{e^yO0`{VXV zv58esM4qb9psW%033mx;Nm0GCC^pwDRadkwJNih>8~HzeaN*Yc*m$T~I$O>9=td?P zdzd{8ZIQlI-x;@2m*`po&L2GqJzWEtgu~YvQfK$G7?r>=bBa(ur<4OEYA!;7vSUk+p7Vyw$vxV z?*4YDTwU8}Y?@hZw9*v(!wxT$FNOzNG?r-H?E>n~gVC5J(KEvG>wscX29O%-t+A z7EcarK8NrMNqacW(Tf?=9to&U+O%4eD@_3FMKe(!VoboK7o^?5!Wo;<1fY6Ov@kTP zbKp!$?KnDGJvrF|>e#SZ6rj&YsXEVs(ZWn1vJ@xY9*sNrIZ^M;D}u2q&NX;QoW@Lm zLG+xkOiV)-Rr~)0p#TVM!QWsgNSHf6K?2#$|4tT|eh42jPdH*#&{i;CqirL>%na-j z`z1b^QO50dEg8s*sP#ROGFRLQ=lz91)?MqGQ0R``f$}PDN+8IbonekG*UGhE4>HW~ zj6kAh)p0#$J^xy3K#i>`)F#f#wJulR&E`okni-zDSw@@5t}vu_J_TEPb$9vBJDQdP z-)V4yg`qb7?};3nFS40lt+g)+=HMe4+$aF(jzLQ@eo0ZedNi#?Li;)F4EE2jC1Dq< zn9}QzqpMVz#+t-*%$p++0e;zW(RXoyuZKNF019BuqV(J_{VZ_XtjH!~tF})EHdA{9 zmUO(SVl-wugEgP(okT?a@QX3cdaf~^(z6mrG?ma+?7YfYxcM8foL$>r4_(#PWo2Yl z8-sEfj)mjaDa?%hIgyAO3?M3`J&qhQ4s{AU#*e-BHmqKF=+q)Tkmdm;>KdMbOmmt_ zY*eE+PWnA-FRylehTj&8%m#r*b|4^MZQDK}+!<~Lg^I3eD7BnH*b^X05jEN$31RK; z_!jtfWqTosNf69u_ISL=pfb)eVhzLmW{qJjfG~8AW;)>>#x8Oft^ECWFPggfQOq2i zF!&>QE%25CBqjoNZP}(PgWC*zG8*@3St}&`u~QAWKgnkf3W?;t2pP;@;1lc)I+UA5 z&23aaUk2@3)XQpkJ|2{yvKfIgf%G~6vQ{pi$x^%r`wwkLwM4zw+7?pI$2H_FPcMU` z=GPU#Yt$5&&-0ui@|6|>6kxy^3jv6 zwwwbiM7dXCr-`h#ZEkK!l@Zz&^i~Hwg8&-hKX2d`#|~0$d90|s8n7gV_d+9XozOIr zbQjtlBW5k+dC!QXNtQTFQiyR)_gM$S@IKq&JgA(rBFo4{DrmKx-C(>xI^D;;30dB# zOQZ|WKS^fbkg=3Zh?S!#=m7n@*;X*VS~YoU7oAj`j?^G$ND9jA0XaO4Fvq0Tv;4vo zP6LfPaKOk~wsWr!2F8WsDGg|72h-6wLH#NTPuIb(*-7N_2cWJJ>UuA z6i)mk?Em2;9%g~TtcD=RieRxB8PUn76F@;4ns2}q8W49`5yl0p1l(aP0U=|_KJ$Hc z0j60Fj{|D;U{jM?xMdNPf28e3zQg9~rsGvI4f({M zT{k77UL?0et5-p=2qJp7RwoklZQY@Q>W~i(yIA6x{=jd#AvhEG8VvTMt4W%S^3A{- zHy_<~3uua1ta1>!060Z2OX~7My&wqzIAQp2_g5fOQtzvo=aXWuuC~$e)4lvLD1S7S z_k}e>281$)FhtKuT&2EP3($x%k0j9qKG-Kf{q-N1yAYBbWP#R#TL}t+X+j-O31S7u zr(tnVo%ktskB32-XTb1#3T<0P$1j?vxHWe@{`teIldD=AyVZR zlt%qyR!uV?p_74eAN(l8210b*ic$Epoli>9r0>Dgm!R+8wQ4 znBpsdt{oJnAg5uW&#?Cm)HQb!(S2k#iLqW&jDa@UGZ{bQNw+Y}pc2+oI16%1aoEZv zQg8Rncv4T5YAY;X+!5x7&AK}ZDBpO zf_r@Up6p4#@&(ju5Hmvua3pUmQ}HwuZTbC?BJ!5iY)Y5#)!S)+#qG2K|DC4z02ck~ zsqaAOcjS!4*hroSS_xGyG?FTJpn7`6`E=qeys~Km=~)9`1aH-q(mWt+&>yNO!K{|} zMZv8JOh`aXvz*xVLPryO)_o^d5Go(%uun2add;7esZqE;gNKiqa zIs4V`rxi?z33N7+>5sZA*4Ry5#UrRTlRCG6;OdVd3I{p55;gu&!YLxG$u8I6L5dxsf$y@u-fsp+C~_y#K~$q zY823?T=WL`FAsfTq!LFASTfLg`NN7gu+A=b3D5EFP$0;i_h0<%#r0~(ilgJ|fi!Pw z;RIBvi`Xz-06pYUrC%>jctO<)@5x+4{UnMw8f?pB<(UJ(2rXnvb#sHbmS)d8CP zI1(Sc)Rruc0&sw5EU_THvMLxb1rCWGF_V>?3f93LV}WOaqN;P>2@nFwLP_^xb6TYq zSEW&*Rf~PlNH8^xds$I^{HH*(u!LFwz|3OO1@!PjLlGCN{;vW59zoHTf=t-*VnL<4 z>KpKHBGiuUUo zDFXFs=95O}bdx69ktGa6oYZDF+{h$BcHZTdJKBYom%q3$f(h0L>5GWEV#9nWSuBNH z5{Y3{TYNpP0af+W_Q=Vu4gPdTq*;l@HA70QgF$NSlz*hsLxu1FfkHpI=+H$sA?x9w zu9#mJQ{U);3zYWg6te4D8o+@3^{hv5)KE$*N1MvlmQnRQ*0Sla_?W=_Z*c~EPalkxjMkOlT#yv?e^PDE zm?mg$=pfx2CQ$;928>`3iR`T-HYyl9F|uF5cq4j@3;}$#Zc$@)*YPzl90=4^K}Qk2 zU_7VSythzoDJ+3rm9K0u+A;@!LG|bpJPgZBTz{lQ5Z!x3a70@5zZB9m_3ec7T9Hi~ z?{M|jY5U$~V%02PA1YIqzGH+)GD`=V@R;gc|DZ)c0UNKChAR%Ci2e<_s{to42j*2_ zs&DxLc!tn|A}}uSFCTPa;KC_mhYdeLh!)-}w_N<9ISY4Z0(lK`Vd*MC@M$ zACsVg$rdvO-M<7q@&RgWn_$%%`~M;ZPvVEEW7_?{YM8uh)0WL;a1#w>lU+@gnl-l( z24Sw5A2SBkz}jSNQ^U<2A7mG!%RQ|jf$m$p;6SF z1=}Ehn2~wA`V{PJcb{EW6F_;WhKfJlQLRQx%pl_7Gr`r6{151fb_N(L#8Kwbc{2b8 z_W#9bC14r$KqQ7+E&pa)9ETYHAJ8d4unK>-bQ<>L#>)zssfgVZeJ4lO+tQ1Uze zFger2a*H1dfrSW5;q6ok6@&jM0Xzl*u#5=x9P9POR%u}(BBOSkXC?vl0_v)Zf;Fms zA(%lIpB*S9NgE4EMj@{Q=9dZS+JUvvr7AE34cX@a6Y<9@n$)9dsZox` z2fb7%!ktVj1;|}0kp3u2NG5SZBV(KzAE24;;V;2PeQ_!_xw)aqas`|O(h9Y=AD<%9 zV5&w#y4UdnLFy|rOjgNlYlwc0Mgp`WUz!wL%+{!FNFLpWtNhMSJzdpIS4YC+Z+XlgWO39mB^Mn)1D2o1qZd9ltTrF#k;3uzHKD%hYGmKwe6 zq;}{gSlWKc2(nQ$xS#6LpC!xm{tMryp62-$A~T2)FwOy_(1|3QZmG-PhzBuGIb}`~ zAFXk^93~!!E>Sn13K95YB`$~HgaoZA1RijU#w6})nX1PBiFS>D8!F3kM(CLA)`8Yx zeH0N-2vk@@0A$JsjrhmWOAt3$KL_4B=janKXnDdBdaPKQ=!AJ=hM+1KOl|ozTuzcU zyI!iDO7c)ZagJ-$ALnZ^AMqBU##3H4#OfWK;0xrUFocO+fIZAjfi#_+Ldr_3I?7M2 zq*~wH7*Pu@Heziy>y-u=&1jMV;$P=9IlH6!i#Q1!=o8oYX)aQmg>q&uoZ62rp9-P3e&oWK)EyHw{y`5#~k0&h=~fr9mB<$4GDMm%cNE}Y!K1tI^^WcI+ z61=tC#G(ZN`SOhR4_UwCCoTYC=JxEk+ z>H+_xkjkFPO2WA>KX!ARe(al+6Oi~t>kVN9pb6&I4jBHE0Bv=koN0RE-WT{lcM*=_ z+@L=SD2~q{1Vn_*l}K)A@)g;tYF+x>4QuO<3noKVbw(M0Uz7lWu?ViGNA zsW+cBA15x*MYLyyX*-`Uas*Z^?-2MZ;U|X$oXUZ}%YSvTjI$CkCTJC4EN)Q^1-@he zC+=NR)^VbwiVhjM?ss7XD%!=p_f%;jZ19qC6Bo*&aU!1LMdL-|)mv$~bCHzviuwmq zCTBEE0qz9QflEwtR=^-$Pe|?ffmuov1iJ-&qr*r@(1#TNR@D>}+F70Nx52UE+olC^ z0_=|tM%NhNB5g2X2tT{+dl6XX!l73?S=4}Z2I>Kt5`U&)VF!aC!v?4Os4cKdzS>s? z+a?=dHrp)pY(IQX_c#4SOQZRV4!RSN5ADp>)&eRyXEf?E2Rl$k@?Kq zDZLGp=*05N2rA6fYXF96+$8=mH;Di572iiY>7-T>3*rT7`Kb84ZLt>aNpezh)JIo| zbKO7CesK}LL}9Rd`3n4!1F^t+5?NhxdMqo*k&7lYSVE1RP`lMX^KC*O3yN@6?^y`n zbQ27@OUowx(I$1>pKt(t$v+A!H~Z_zF8=Z_t`OPLXKH?JV9LS-%3iI&I-m+zCM_>w+uU0d$$~VM=L=d>yeQ`p18~VC}jB0$1HOtSB8}aYDBxct#B=O0bBW1dmK? z!CLo*%3y4d4+0cT)Z2cTy3)Y_VYxqUPJvFB1J+#20g4s+6?FzOG1kOn?KtBu@y?h; z4Z!Gqb_#?f4kKpjWI8p4-~!|=v81yD2h6`~ItcD6i~Tr-c`%e19J%=6g_M+&Ytvlc z)8jWV7}^+>D{wX`AyN+}Q`{GWaWk01fn3lM+n9?(Pi}B_L;&=DbAd4XJ{Rd{KJ(Ds z08U1qbWXiy1IP?Fpo#ad!w=Q@wPsEKMob%;?O$td>XeRnbYWt$5U)=h2;KuD->+u_ zwxf-L>wW;yLx@ddWYS6rs*A==*ec((>eou%RemO&CwYB8^y58G(RRQx{hIj?vmIS* zES!=?nAnOl3x&qAYI|308`xZ@kor9Z?>nd=OX~;^2{*GYAYldI1}(SUT)0SWEidfZyd>C>-St@cnb3$^d`y%`BGf7mf+QZh@R#3u!UT|> zuK~N)!g)s;U5cHYj8i(~q*;q9ph>FpiH630Kx+KZIouagV**NvK;ywNT_DHm#pozu z$o2F(2h$=Cpg4daT`;cTs%>qZ5&{^okP}m2_sYmX_bl0bRD!W^QVcsuGF z#FdK1M*n}@J-yoe-5>;5_Z3C5;%7B)8|v9#!8DoX9IbjISeF(KPz;Lca;sN8uzYNy zi`zs@V}~hFnhpENOyD0-KCtQPY*lN&dBWO=yYq@D;=s>#ROvq+R-PR1>$WZ?AVMFRp+Hz_lzD8|aYcXRM*B&U}N zcN)=KCg>7%u`|4u(l2~GsjDPeH*=MYeL9?1#^mgC14^n99tZT341p zx#z7Zpq!wXbj_#`GqGSCV(OTq3#8h3)G?)W9Qv(>xNngoVA_s@h4+jP+_UA+niXXM zW^Mh0DX9z{tg(eyNqZY=8;wOEdSUF3{4{_!c%@NU3#J!;-Y7;hhP~v}O>Sd!M;H^? zZP!nQte)PF!hSt@y;@!rj5kV)TTdLIRl*GEc04ks*ekwiED^U8d#=S%%-z8<5|U~< zm5N0wFQCh**M%M=tYl_U1HHiYT}t!?!mw>yUEzg79`0(A)UaaAl_T)o0D?0 zylI6Jl__h+ns;U;kc{Kc936jf{6@-?-m}J%7%^-};T@M^4hOQz^4pqZkvSP<07t2f zw>6c{An+-49VTC*a2XqojWB1#f!rYNzI8Cz;K%qXHsHU7m$}}0S71QVN~Az4_$QVIBF^3O@LJ3O-xxdP3T(m zka57)$FWe%TALV1J+nm_=lc6OsW>`=Wtdvlaiubu-tw9SQ7Fe4WtMkr*^)q!BlOrgS-1 zN3V{Cx~NtpW&l_3z@8Oea?Uuz8-`J!z}52CBh`lW)6T-zj6z_Hm3Mq{HH{!F>VtZl zr&TX&&<3;wE~+UDlY`Vr2q`eG;Qf6H%#cD5)Ewzkdwbh5VD70g;>utN>|p zH-U$S@MHDIgG=9`NHWjd3UkkoZ9kV>W=&|9autx@iJudyf=>mi3mYsUJVgP5RJ$8| zoj9JtnfFFG3&i-^>Uz-#O_2-c!z|!;%l}qrGI{r3Cnjj^po0ghn`)G})mNA5V;OHf z3Scwhg)oNt8-CnJBbpM#fP#jL+c5tB*Bi0-5t`XjTfN|I4tQo2-K_ldti{lKhPm>n6fSgDVX-IKZ9D_Y7qc9#y! zRZw0OB&j;MVaLVNXg8byZEUZYt9P{)8{)u+e>7uFk`k@Cl(rE>PU$J{xC@qE&p_h81l?Gp$&qatemUCCA~UN9)*G=;P^ zN4SNW?r4v|A)>1`x>&k^Ej#1j+6H8Um!;_ak($XZZE2H4AHVm+M;d$?Tz5Fq;d>6d z!O)$B1*rz!4~o;hF2roKkOYD2tF>A_7to^#@oqP&+r43cL~qp_3kA}7bC^EIhD`R8 zpT70sH}FWVr>56bkc%>P)Dw-NsH8}g|K^@>|J#_qYqh=db|7Owl-p*JP>DbiH|S2q z90aWpC)WCEAh^(fS?#~K8C;*fxRuufUv^5Rr9i9cl7)4Z~Tp8x!9!$2SaHpf&}gW)FV6r*Y8jCP^PuTRNMyC+^@G5k`pI z$GxGZL83s%RF}%*j-?*(s;EK1UHk6ZS4oX=`lL3nONn*hAi~&9wd*HnO<~B$!>9k< z%bs52`eJNfi=w+_=1ojysuBfF6EiAJtjXY1+hzfF>S+fXv7_$^bP$XOA+Ft46lT{4 zs$l;M$iF_EJNdP@QJUug3?jKDC3Q0~ikA?xpUM`)Zk5x{0DGl)fdp}Ety#J-(&u9Q zVIH+ea`{hEp@eq8 zs4N}K>NS%e009V-?qMP&Tx5>-k}85j_BX5)Xoem%0f{;H=7mEgP$7s!nNl($5E=t` z^k@do03wh8!7_9BaTB3=cRVoCqXT&H-XkymsD+P%^LjYN$En#L2RPKJ_2SyH&VD6WC#&=o zB{NlSN2EbH*TiP2tyzBP^RxjQMfrw6brBr*X5nM_oRLJ7O}ipNH8F8&3#s6?{(j_; zx;J`|0AbWi;Acbz09(TK4lmKK+)Xx1`&mN&21ucnt;7$a43HY1fK9L_pN);t-gVY= zy?<6O4M!?9gSrbSyo*vfw=nt)r9He)CJuF}TKUCl2-*6x;(L*w7~%3Sef>3Tn>^G$ zUpe0?3Tqc_R0@M_LmC&$9k5YQ<@>L1s3J`z?W#UR%*9FpwiP8Nfgg9TObyNl&`MNq z&hruaj;P96*RS~-{UF@l9vb^~`M?31_M~%AL9U=7u%GvsOBOAtBJfM6v*ThhNI})= zZT7#6&3^zX%to$>y!IqvkzI$;c|)6YyG`d4?iC}4`G_KOD3@`}6&gzDrT*_Xr*)7I z_2^PTU5+>ppW_jM2lNc=HD7u~IBIrC6G%{s`RJl4_zSrX%gNSPVlI_J=} z6O@#CvRqTW>ipXFs)UpCk#JHTNl_A%8taP*=8Qgd5B6CQ9GL;+vHMwr?7tT;LKT47 zDR`9;Oi(`{NX#q?yHS~cBiTUVZ2hMHUxz*=h?6I#Ax&vZmig%BzO56`{796NtC*mL zqYt5W&oirwPFU^}%$9D+pziO5bzNs?6SS`Sv{TKS^e()BU3Xw&`yvz!g6IhRUe+dM zUe$$a;1-0dBfvb6$Y2yZmWxI}@BXH6+WA1UloIXNSH``!jBy=dYjiJY1VN?fsQjG^ za{xwc=|ol!G~!>$_|S*U`C#Jh_b9E~^Tf`*kd^8Hw^+7G}ZLPEjwu{Lq_mzb8CL=aJ6CIGlYc!B9 zg~kvYRr`Leo#-A$rP1)}qsoovX^FXb)GC$|RKw$uideU#6J6;yMyUW+I0`lZor|?+ zGar;6FyM-yxdyuf_Uo(HP7t~rUF4GEva+zQo@0eeL?RGAqfM8dQy3mvm^%&~U7>DX z0{f*?PdbK#P4})(m3I+o0H#AeaE8()x?DGzCZ@^tJ6(v2VF{8(R?h$Ma=eg-r~T8}2twC{FMera?bTFsP{*BSEjYH3&4ESb%?ZRI2vgF{>!%)i z#vr4sD3E6Hvyg$h$Ow{NxnP`PVUGCMfwK9}&@(j`4bIS2m(fj63~N9Kdd=~F5cjd) zFWUJBxB2j-QHhFJ>ia)Q6{v~xiv0eIpM2_-tfn!hFJqJn;}g?26zkSbFu*%hBY{7+ zCM$IU%mCQLX;aRu^T>L8=ZsJfmS#a#l(Z#{O)__p+IkKw`3dWIxY`+ma_HBh>DqRp zhp|e8TLc@?Ey0IO45b8V+G|^q;xLLCm9vgVH#GSIC%HK?I#<$tJ&GGmBGj6u$Hn^H zWR%lMza>FF%*HgH=>xc7u8{a`6X|~XBUF|K^ArvHWZGTF-zZu_rLgBok7Z3*S*YX}fLw2yC&3shJ0h0u!paA-(@7d(O} zVgaYrTdgp#51wXIMGkQksKOXER~g1M6@RUvg5(7c^TE|u>*KX$R4lbXr}ggG>|a=P zjM_D;6h3LH;Jl&iZKqxD3w~q4Gs5{8)2++k8(LhLn5smg*44};Z-W8#R>ARcFtgl= zgYw*|L?6MjR0H?;OVwwk^FzeeodWxI_&kS!nejkHT3}y>bWHa*CJ4e^G~sj@!06}) zlhX|s9BOWCgt(3x_+Awpuc5dK59ruZr=e8H&zU;6DqW$Cet;m^|79zc?MuY}VVH8v z<%KuECZL^6h{l`h0|u4OEIpNE+_nbGPhJ=~g(p{E`;X-iv|eW)cC;Qi#p^Sj=VDgo zL2%bv5lRu`>z>Nb4jL*-M|V9J-`w~3%~W3+CohTyolK4*jaxEW>{>^gvldAL;yVNN zfi6$Ii8?rgKLi1jn&byichDFBH81}x<8a6ikl%a_LwLQTX| z-aD_d#`PCQo9M_aX|r2@bR4JjRMlrTTu7>>%)05!o2A9X{`zZ^33v8ON~1$kTW2}J z{b7eb9+$K;EnY>3bW2+Ip^UQ8w#jVAp+ltECdg>LdJSq zce&cSX6d;N_FT6R&ZPTri_OCJYGw`c-uJczNlYcCUf5mLtUWb0cnxCzm}PjJ#+1Qt~et~ znp{?W5bM%HGePx8Y09Q^WD3~Unvhe_kCLubjmb>-_3&fZqf)dBJlv92lYPUOJf&XXr~3_icp#Pg63F}Igig1^h)H{S zZA6R?KYU<5y?*oAb5*pV?4HwkGj`Yd3! z?ip|{@QXrsH%?l3(&l)k3MC!Q>%)`3G~bH?zpy1y(MFh&oY)cwHli#V7`))_O*aZ8 zfA^B^Yd@tgY3i)J1}Ut3Lopci2HAe~Qqz#@p&peJ`S()4q!Ov_(*5bA3-xExNlAkf=88(|dFzF2ME8;B&(6OakQ4MFeOm9sIf5qH?IvyctK=_}t{TT>{RSe=6V z(a8a+(R+R+yG#7A=+Yi;aq&0g&4f%+aUyQ5r#r`NkZ1w6%K{26HVnlbQ#fa24!6i9*E0#))T;)NJ6blmXt2=$OiN|^SA zA3aEv6|2=x6jmv|xWrPtr=&owSl~;k+*Qf2$_y5EtCxNp2hDYEZ&(Rg;yLKSIdL^6 z7nC8Osy9?vG=rmb`lFz~1jjfUu~7uK-)fOT!gVmAmBDW=fz#9#LxqR^j={~$QGTnB zR-?iAitM`+4I1E3ixA0i!=q&KCi+R|`xHea0`;ZDHwVz}^^}~JZGzGw3mt&N{ll~1 z0ng4hcH+D}UoU~zZa`iXN4!4dOb=Xi??vY=MkO%Rl@Ry(B@8NEjuWyFM{1-)nO)Bo zYFnf*bdt2^Kr#^8ESD5kD)A??TV=MFz*X&5qeF>fE8d83-&2uKCjL!raXAFmD88kP z@08Tf5zH8xk-Haj8!ZY`&rkqFtbn$$;B4+G?_9zSkB%Uoo(?$N=&O!jAaz5jTr3>- z6}P;!`E4lCTRG81*<3ON2>{9w()i0!zr|eSk3QY+E52AFdd@Zbzf6pzaXM3l`T)8| zj|AgxHuV4SKN`&I^hRg~05CGS33N3yuOWl{lo4<|V2vaQUs^Xcla7_|A*he zf#)@C71^=gihmoCW;R?oap(g|Nel(}Bi-2@Z|9svwjM`AKG`%pL|LHfW;WeQ^u2sG zjW`7<)Q$#!d)TJAK|!dEKeZ|s@ln_*8WvOnr)WKhPHtl2Q)2kI^Tn+o6Ch%8K0y3j zCi};OYpGFs%t&hr%Kg^JJ-(ss8hu%hGAFI}uPrLC>c!ZN-a%EAc~XO|1E~S{w4r(h zsaAZ2nsO?6Q~XGc(7845nfI@^^Jj1RuxorMUN-}$$!`reQVpfRA3Y#I9e`B-aNuQ) zn1ryr=5dN6qM=o@*3J}@?L%w4G_(?QxS$x5LYn5lcvP2hEND&GWW1ja}tM5Qp*bl^5C zUI3s(N?KZT)(_ld{IISu+rA|JcLVNSA6jtm+K(r@Uz_tNY8Y-TB06NUO(@HdI45Gm zc$)j}roDfT!MX|N7F4d_w`~z0HumW6-uaYU1l%i&6ng3;PWWQN*NIkgFg zvfBIS0-|Jak_Sqr1_G{?nDCqcpkFoZFzV)DEa(t5hd&xNZ|Dq*;|CRvuSraE8Zrc_ zuYiTLjg9wfhP1B0VXDR*USToertP8nk}!vG+$em)D-9Ec#t{)5H$c}uLgiD)`JKP` zaJ#4gM{Vtc$x@-VY_Lk}k%LKTQD)T5;J9PXm=+q35>>paA#O=vM;J4*7i_*VxL+IX zQ!v{1Oawr#ec8cBU6iMf?Z!T8bQ;j}2&kB#yBj#OFf&jP+>>qm#5d;xw0~&wo%`VN zmWU1K1^qx(^I9MP_UI={Pk3=ue>A$pO#zXD*?azY9|2aJ)?txW5r4;t*pglT-{xI3 z7d*GiFwIk4Njp~rj}1=k*1ag9bJC z1B3<$Ao?1DiGK*LOe3m{sU)#6G>iowEcjp;9|Fb~u&{q`aWi;Shad~xBwbbT(ka)nn zyz~tD&fhT!yfX}Jl>_R3N`0|PEKJOTB$Y^C5x%4(`nXbU`)6YdJPp}o=EV4gdBPEN zLxFnx!-j+!ee(p!j(nbic(cIRG5z4gbCYAEJx%*n*;2a#LfaYo1U`k=0o*w3pHowq z>`IyZ%*)~tA=y*c9y?#pts8%yi@)6|OGO$wEs_(>hTegc`tK_} zs}qw^6pdLX#$J5lW&??IC)>_==7vic3>UP0QZ$$7(y>J@8G%QF=(?-Z`oSJr*bu)> z>ZpW)I~YKMVRVKAs{$zK=NK<5*Bmf8J9$&bP>W$V?Fh;}~m&FByN!i8w443eA-{@-( z6gxXyaVVJy2UV`xU*Lm7Mi@n@Yy?bPx)58t$@Fx7XgmJF4If?dBuyiiBp~w$B>}$} zIKJ%q$)kXvZ-0QP&i3V{6&&W^nZ|j#Aa12W@?xsO!^*Vm!d0jKQzQMlf|1V-ymkn) zJ}((aYS>`3-T>D+q}Q%i548u=fT0*6$eFp7q5(s&jg^!S`*98VI3C>qjey!|@fC=T zx}(WiulH(_10*Oy66Zr;Xmk+XRZjp&Dx~yhOhH4B(5KT$Ca?U(lYjmbs-FzP1W=*6BS(+4LqH+eEm${$H=X=I%^L6fdncNM}i86#CDy(zsJNhAz?-;dFZf zzikij;W2=tU!3>AZI^q51$YH!f0;iPmptDqs5jLK0rZ9YBzv69rvC55B9dM8S;P6B z=m0QSf@We6XOQf&>WhKuPD|jt>V|56Ak4xN!@BJ*7$k?_z0l?5sS*y%>_!kEo1TT9 z5=@R@^`A{-LcN+Yo@xY(I(ma$pT^d{2k2GwpdB~?SohA_&KEfV7zih9eAzJAu){3H zIQ~(9M$}bC8|1Nt`-!gj{zoS!hSBZ$6z+flTCfsAnG|%CWpv2XkWEW^dcGgE{eKZV{ z5{MPaP22wL(FHA<`H%(kVU?#(3;sf_+WZN*KOZUtJ&b)jXam7e$r$U>g+_#BKu9@5 zO~N+`G*Dfry(T^5mx8OBDOj)m6I?(ie3XI`p#+YbVNzC&xVRT3kr|!BMnQi`l%x>| z&2kB~Y)}Vk%l`zlyiAb^K#30|r-AArUI!8hq;ms`7!=g}q=j=*DiDMKxQ`$NHbr-6 zIsjaP(~WAf9I@IkvKt?-0C^~`kJrHJn?@HJ#{=7crz$+7w{48kVFX7(tLY2qPd0Sy zZD12HK2xhSxcD8f+-aU7>mH{|J^QI|P&?FwvCIfEqa=Z6Y8wRHdY|31i{mAgNm2BO z8}0TjJGBqk9naKB=$bT2fO_*Auq^7pfadT0vwYkE+?wU9DwDx`i5S($C4&!$Tx0MC zLJ^I=6z#_QY?{uyzf@S6-SuC-KN~=f$JP`q5S-IDA(SSA&M%@8KTf^!R@6{MFMujY z6Y2es*k))6#ESF@LJt~4!MjO`q1ra*mO(_N^xQyIr6ZuYom-Sh4X|8IaehaDBm#fQ zP5tnd4z^HOJ$gz&E4}a;ql|Uq9m>@`r5{awC31dj;5jC9jQwrm?z^!RO>_MDxVb65 zy$Mx=>EfN;h1t)Fn_tq1yLQv*FTlVmHuX({+J=kUr#X6H5;J2RyPqanW>f|ltQB2R zIFqVlE!CfWU0}Xuo<{ zdl=corudsFqKhoG>$UwjQDIll$+oFobs1<$|8*{Fc=?hk; z_iL1!_J$|mXE@u^p>|ELvC)Zk!KPEb->F8PO@$kPwsb(%9tX;0e(C?S@&7UN32M>N+;!cBN$g6qPI3HiPc}XQz!8 z9W>(*o<+;O#&b%D;JVtvgP>msH2NmKA@WgXm{UC7*wVx;Nn1K}7%ucRhm>;@|G(|F zR@+U^6y7(vH*CnmP>z&L7dJB9(B;uIuq?degXUaXg$+K5gWs-ueGTe^I~wY7XiF!iJQE$3+6k2bYiqXT{<;Y2{t;FOTmbl+M?WR z4+b0P>$Dy7g6=t>KixkLgwo-Q;24sb1L8f(A8Lj|nhvO?zDrz@N8FAbl#bBLMlE`Q zr^$faes}M@X$T$!Ag4#$<%(&NT>{j?kktmVzGcCSH@w`4&Y+k9-o!O>`aDwH(&5Ln zg445*75_sUn|L0?@s5jprPGeUfdaJA$l8guYc0+a)Cu|RGQNW%Z2@UYGS@|xm_q0+ ziX>=tiN9&OiQkApAS9^Uu8|;V456m=NG*s6Brh4;_U4lSYWe;F`O20VYBQgiG2+H8Teqbm9_c2h?u+9~634rr zmP{ky7wGd*B9iw-$e_KF>V)2)CZbpSfDaj+Dj-l4hTm(wMD;WBd%)rvA0i$$JbsB& z)4wMiccSZ}DLSnsG^eF9{KonKe!Ey14;lP9j>#XoKU~!0_2|V+2!~kXP~9WWxO(YB zqZ}>Yn5w-)O)sly&YpZxMHl3|cXrRlI2O<0C`TSg3q>#S-gnl$9koD@-TU=*7$ax@ z%h&cwe1`(H%?$zvh1KUYs&D+MEx2TGRBBvmXkXZ2<+itkG?oMM_pRX!)nPhwUskRsV`aP`^R9&|1${o@I!;>a)aG_&Arzo zg=GzcR}Q$e{Ak~9Vv3%q5oonf3`?SlVF+a?UYit*4L3`lL~+t4!Ym)w&;1*th4pqJ zEa&4?9%l+$_Eolbr`!an2+cV@Ides&&*upDrCyz&R%nkxAqN0jPc5ZtLtOCp#ii;u z^IEIOHx9K(2jSjSd_fXcQ@YQjpWKZ@-S8mMrd*(g$RIZpN^^`2bgBu7k&i>mkNk*v_xqfIUZTWHxyi1;)IjJ+!dTtrAtp4N>daoZA`qdoeuUZq&chPUox3Fc^T7 zP`0>c{_G%Rtyhfxj+}tUD#X?uG?QueQy74&hOYoF;N1*TOo3J&9n7ouqxA_hELH#Y zQ9eh29YKk?{Gu+1Fs>k z9%8%`lmNRS_by9_ltd7R#|OVgb=fgYX%9T>GzP8@Xo2xZWLqGGDoY(t7n3doLvR?L z81M=M)cbG4~w~|Sg7;?XQ5hsDTkTW&48Y{ z(~OR+JjNF!lN<5&UfowZ3y;$3M(FcKJSX%-jd2}|^17PgU3Tq`Se0wva(j1u9axG5 zU>wC`Ve zV1KCwUhwHzfup(BWeH+vlapwitE;Z#U@MUrOwX(+Y)IPxXXp@JZ@K=R)xTNP2%JnY zhGYOYL_4AzIioZQ^x}1|)=c?=<{x|YZ2TC$(1*D_nM!X>b!Cpk)BsEyDcX-6BF!|D zzJgLgQR1Sa55mh3$ZCJFu#idznldBU|2KAW(5oC(rMBvEqxasH6%$N?0T5&t+O2R*PPXH|4o_{~d3x?a-{;QBeKB@B(O(?2mS2tf7Zs@S zErpeJGwE|(Jy1iV2Ot_U9E%M$Lj%B^y)r#(naj1o`#!*4ya3uA!o_O~rwB?di}V8$ zaC*R<`ULfdlsOhC{qSbABm$vJZM&{Yna-u11X}tJ9%Ptw1FGxd8=n z{Ins$h1pNVGGE*xfKZu6XTTCIOpL#8ao`dI$qqI1-pB?L59M$(*z5KXudd7TRS_3et~)neMCZnfW9WvRo59#5;_6i1|7Wm!ir54olh;n{8Jyc;( zPr|sB+qKWL2YEuEn}}`DFs#21f!5L+yRNaH%@*q7TMB;WS%0f3v?$ z1ki3xL%69 z7weDcFAIqsjtkt9*q?kEf(!@EW|%pNA*U6`$o_5Zg)EsGVtyoJDeHEWjxIpyR;5N3 ze#Q;SHxgU&`A-jix^Vja7*zbL5?n7B6par7Qrr`4EhuKiId4NiW^1RYd%sl?Y#N{; zq(MZWiX#g;RIrtLj=IHJYFlastiq5j@l%iW^KyBlIyk%HsG>;~Zy%{+I}zh$~+6K_G7g zoY`W*A1`{?2>l$vBBVQl*@JxIVrnjuxmb!$c@Tyzy551kgAR@`a(LkQ10Qhk*z!&M zp;pw;2t<;T=7^!03!gEiCO>_-w_Z$7UW(G?-NfyF<^j;9fj6gt91-cCyGSnBTx z372so<75m44o9D>wc7wzsII7zTX*+cjP+0@-M~5BZNQRcfEdIxQICB(tyGP!iWT}L zUcq4MlTD>+=e-RuwIfqYjFj5)bn|`c)<+Yt%vl^7oAi7HC9B$!f|diWXyfNMugpo@ zWndW~qyU?xINN}22DRf#YwGcW*?s2$IC`xV_j8F&hCx4bJ}9=?!=q1~&4fXjIm<0B zCY;CV7sB9(Deq@&3$q^H3KYD++_#X(=f%-IKHC&rryWb0g={0IGIc<$o~u_~FL1a#fbPBzz)!7yuDt@5%L-Ly zw^;zhuez>iN&$&2N) zIk%j^nj17VM>G1f&$j;+&{D9+$IH7co6Cg<>~1dXecQTL*gaU?6Tg_~g&o1U$)-~< zO<%cSgC$|9;f04^czD>cQnB}*xEVb$1OXSSyDa@Dk5=Jf6u^1I0#lsHq`Z6L?zJm9 zw(_}k*b1;X4xmDAcL9aAhgypdH5|GRloE-ypeLf_v8$?&u~VzoyOVB$O^`z*XKY)y z87G$4Qc|a|Rkp+G02M}bMv>|mcEYe_2hVZW%x@!>7@Rxr460?bLL3jXX|jAxWv15E zl0P^Y`#j33n{7q7nLdyn5g{;nSr;v47CFTcUk$BVZV%hJ*REZ9Lz!gn*Vj~rna97m zf#Xmu`GpW?RwSMF@Fs9FmT?ZoVR{(PKqrygJ)?8?7MU?Ln8&OSzqjZ2j7_JFH9E=g z56SYeR3XQYHT#w`L8OZ?>3ksSZ2*Ko2kh`MA9#pSf09nnYmTX?Hp52Gojda9DnRgr zx9+X36!6mi2DXk1tqAR+=Z9LsR>Ao@oi}uzZOz6k8JG*VcyImP0h@NA^{D#kt0jM& zDbe5B&mbD(&?H1X*eJ#`($#|)mKo;6V-ek0&;qZqgrLK4rI9?fhi#?2oKMD^0F=z< z7!D&MPI6nnLU|5m83+!7a0x0S4S>nTB*B5tkc>vYWU2;1M4bB21%yb~!)?tP?6u<+ z>V`-PT>od*4k5vu;I>mrn~eOkNlYKzvk@c6W} zUr{%R)Tgx?D{Xyj#ZXau&=T|!`dXCtf6Z*7?f3nD-z0k<=APpPOE#yZG$l*>(u|aWTz>7r_SM1QGe!ZF(6&LwSQMbTCp`YZ{wrCrb9;tNm>Vkf4P& z=+vl7Ly84<=yH#E9eU1(INQH~WGVFE9jenJx&Q-eZQdp%Zdqb}96fhb#fVGTVmK zSb&FQcs|8QZ~?vACdE-JOJ6Zgl3w`u+8nd@;Y}bXlo>1s**fdoXB0{=H@1Crvp6Tp z)wpq7$@B?S9gH5XCvl@rSr-m5kH6%nJYV15NLO^6k;bGGH59rNl{3cYWeQvE4R@G;S&mqt7#(lB#FKvL_ko0f9Af!0;(3oCNJWZC1U7M`&JYh&Xn>weK-Wn}%SOfrze_7e zPU$`s{T$c`H^!$0)!A>%aB-R@fW&zkEReKjD4Fx^l~AxxMzT(6Z~O$SP@`YKSzsvs zGE@my-4phfp@$@R4$;I9slVLU8d5Lp^l^L*soZ!Wo$6MN0b>RExmBZ!iC;mpm{j4e zIG+!i^6r}+UqsU?mKs5~rH#K##^gq-GH&2`AM-?@2%rA4EaD zs{98Bp`gbk6Ouue>BT&6Ur={_uzG#_d_*7_D5Z^+6BZ`+&=S&6hlH?EEN``HETLr8Exy71%3nwbH%+SI!#QLze6fZ;Gkf^IdS3z7ET(zdv!yH;Ot-GG|gka}xJa@W} z#tp`V>_cEhN#x2Fo8ExNOjuqWNL+qna!A~U+?-o{ZgCuTc8>Y%VOBBiR3L0JIY@hb zY01)G)W8}z+z`xgu_nE!b_CU`A#ulj!eQ*GIZw@rK*0r;J-aK={Dzw2s2~xo{V1q` z9uU~N2LcfTHi19;34|^uxY2d@8w*fnAfEGp9omsR0k!|{;B=PEo71-JI@{aTi`1rd z#58M#v4wo(Oj2*ifl?oBRkRZmXbV^%ufgm}%U|r0J5uCma_yeb?HO4%PZlMY-bd78j|CuVB(L@n&>~ zitY(Q1mHjXIH~n#Ge~^+(p_HdG?jy1X+pw|+VZ5Yp$jd9SS7mJvs!8&GJHD*5+E0V zdy=5U&~HhT4uQ`s4gPFtbJHq|2_O(amn`dEra$z7R+5-1xMGdOhOU3k#x#8`v`<)B ztU$!bZ8C*;#ruMkL|E)NN^&FBZCH@5i}Zk90_dQui;Cu|be9*X_54{x0u@8C9tvqa zyb{M`iRFhj*C=(_0VQ5845~X@!Z?fd-Lea;ZWaEM@6$NEDxmytw>IFQ!Q#>b+4suA zmip}V1!l!meO44ScF82;0tj1&*{k;{LV$!g6gd4l19BZxfxJ1ZIB1rnz#mM+m z4#mQ(7z^VD-suo-K>c(s0$Et#kH#-C128Vi4NBJIjg|(g80%q)YZ1=SYmyPazr`;K}ZHQL?Kqz-jB(Rq%{k~hi0EMZ3I8NkfRkmU;IQ96<7YjNd%K`$^k z36hkN4rDzIRcNZ~H(v@&6WT%`91R{NZR{xwizr4S^OOc{D5nw`B%0iohbrorT_3L` zQj>ESgI9)Q>?rLnZc-H!9bQaVDipZTVeRBnJ4Hw~H%~cr{C7=J)CD#ANLz~<9|%?2 z_P!6C5!`Z7$tC)zY&cV$i(*%(Z0`k-S-sg8QTskrUZutk1cM6at#8*MssC6Ujm}3I zN!jDmrETKDbp*fb4x+vx7uR=6l#5J%)k1bSKEUAE-O+dIqHC)$bLn>1JdXu9^MO8j zhc-Q9_2KE*rc!ph*L~{PCaJ$-hkb5U;biTAl|YNwdYS&V)B-R!0MpnO9Zgmi1J|!K48>X}YPsDA_SLuJ z(^dP3SBzOOdXS1~+}f;eT2klO z);e1SjK}`t+pXeM9p3HH$M3l;`T5bjXAN>TF99s)X)&Vt-!Mn0=`78 z@LwLd2vAV6?AuSx=|i1?=Gvx#LFDTBjcDT)E-Pj)A=wxA(ta*Xlm|DyTpYu;OKbJ( zQHv67G0umk*_ITNkzBo#5X_l(tO=i}c32!27|08Jt2SPl7I+4Nk=n0jl;rpCJB>)F zdiJ=#YMR6Bf}`v%2;d1e^5V#g9xvc701bts=|?&W%sIm7W!kBd#MIEIttjzJX8I7t zzqlf<{5pWAZgqWS`BqimQB#&`W>wp&uvFOQ4!d>e*-#$K6A|@paiyxBT!r zzpodlifxMUgv04G^IJUu4{j@cp_OL*tq%7@5)iQq!DNSv7A69Nj{;s*Dwz5 z+o%69iiv@hCbx3X5p3Pdbc_f;k71aHeBBMc={RB&)d@xj6dUnPAPDw-98>tizgeX? z2H7MKZ4BUPa`GiGV-ZW)F(EC54l zcl`W0h?Bkf(UNX~xTutDqysmPWkHF6ge68>JR+rZq3@XE5Pt*HV~+1W>vksaL3s_l zWsN2J?HKt?&}R_ymsd-auaU9(9}z_ZUtEC-jm#@}aqE;h~Pul!SRAAIErxD-#1r0>WL6O!_F20 z6n8FngaZN#%i8O`JL@-_)nHpoGsqhPbm78|IjPKpAAR$(IQER7rid|`U`RHU7!i3ZmYv{__)EvVKO$HVk-Uy@;2CJ-)HlxmX7L=Ea zSQYg;@eYlx!U2K3Jqz|M=rvU5L+beVc@x2#VoOt>;({BsKUy+Ft1?k@Tb{k z#o88O5$zDCRzpJ8*gcLbs+HFBYJxCccLGAs8C1d?bo5{u0?N=;a_NO}ni0D8 z2v_Tx>Y_HzklDUBQXVCuF?Rc~p%G|4yrciA>r~5r;I(w5E~vh>qoD+yQ8%#{EUn1l zGgCa8TIEm7EZrd=sUbd1)%nN^Wd{gx2v<>d8&G~;{+4-=OaW~rt^|U3nU%ne^`h1z zzhENjvnTz1JyNF#F8T$B195+x+_53AThGe-@Z9cLR7|eW%nm%E_>dC~?dSsx7DJ!% zB`O61&)XQ_!(temF;qo~W&1U|yiO-Lq!TKyHGVZokvg^EbPLYzINzTj0hmHRIfcXo z>FWr5vSt;I#9r#y@*MS=)n@Y3`PR8wu{h*2`I728+U_ zxiz>mj5Cpzi-hIroFg>crN1isB(}>Ie1VBuHTy*8iO4bh9}HbDR)@%7W{?f(8mHsJ zh11#Mt!Si$q12K*jPbDPqCVOgA|E;ubXxRGHA3h+V>f4$!cB8ZF=`wpEVL3tEAOqG zDq!Bdq_4wBJl)Bi(05#*sN!MNm(#D+#=!kw7jkDA%)=oaNG3-Y+HnqbZZx5uzO5Zf zgI_O>s|+|LD2G$sk@f=_U$t$`7O~}RTdB>XGqhPQIr4|-WQ+);r|o|1&0uWH{_Yqh z>n|_;s)43leqcH)O4!{`Yw*}qMo>WTXwK{NAm=`CT?7g>V;yxz7Y zlbUV85;aUp8$fP<1$3Zmk?0MNcQ~Hm-hl4l-XN~L&ZUQnp)(k=+QI4yN4gCg@4%-) zYvgD6L$dvMEh28lTymjxmeo;ZCMP2i)pj;J zhv^hnr*$>>a-b$Qm0^l8O&8R0ea9n(?a+iKuF|C1>#5`JC4Q>bddnJ=+%9qqc2Z+! zR$n#8!8in{gYR-^gt@0qzIXC=i^Dzsy8)O1l8|dpBH-Pam?Re`7puY9cJrl}JtEWa zdOI8zvC`Z%2m@JUK7k^@r@hk1w+OO#hisrE?5|+4?4#L7lW^mRDV`0TC$b*rw9OE$ z!7}D-WE)NX2_I3_pn26yz(~AM@uHTD}~uP78IvA zIEW0x__`6=K>Y!Dv~H^vwJM-Uw>YU$5{a$(Ev-uOeHPFE8zeohK6BL9q^0AD*Hb?? zCqXDKkZUX(guG?AGtb@11pYX)CCJ&!EKX>MLmMMuKh{gT%;GC8WM>BXP3@N2J}!vM z=W*m+;*Z^iFo-9z3VvJ!g+1YT(g(mG5`ifVCU#6mMg7dPuRs8W=1xvdVhUoNZcfb1 zQgL$p(R~WpXe5Mb3Hcq#Q4K_*qF_9K`Ng_KnPw$Sxdpww13*EuZ8Xdp&1X(XI}RVf za@ueNtxZg)4?VfWs~F4x`p)xVnh4O5N)R?lQX~rGcNK8qL8%7Qm&cwh9~`SDb)Cn>d!-(W|5M%>FV1% z8j7etDpcdEr$ywwE2DzYjq!9lIgX02#YoSAtL)uE)kS%DG zpgZElXZ@tQAF?}$*+xKxXehDHt)b3rIKzuJ6qWWtE^ves#zmH&&fvjuB*%>dfc`WV z$9yKAWZ1xTE9)y2Gpy`CvwcOJ27B!d>+Bim=T>3JWG~Zz6k6c zCveL+78hnN1@f_w(#x$6xVD9r9!CO=UtTE3hZmUjKV;;}jj$G&RQ7tvE364)C0h?gG)=G? z)w+E}siHizLVRpO!#Z1V>}A_SnpC#_>jG3KTsdrGx{`QW)QD3ivaD%g7*ge`D=lhi z2gd<%B(EaSx12gvX5R%BeYVLRLg|skoXLH~?sT16B!jS`^e!lHE$eETAY-?{55565W~wrCgie-Rv-%$?9XAkmF4_oeV`91WBCG z6n}=P(I3Zv>VHvFQ)R_-sjc$YsB{ENFdYqfD~p$D?I^@p_@SCrGs9JnJw#|vgdD(2#fuKK4ZZs zY!^0P;&OJ&!lOwH137KIrE-M5C+$ewhrt#jxx*QWsf;?hjZXaT$m3L2+GNqm?flqQ z+Ht94g0RkaU2G`1rzudxjgu{ay#{B@@liSd6V4zyQc_Xia?zS(Pe|wz7E4e$Q@L~` z1_+A$ttmMl#SD&X%;M_!1qExhI{Wt##J%dJ{z!Jm!!IP%ON&H9GlKJR1WU$Z0tA6U z$c~^2z&MczB9JNI!cR~D@!g!d665A)W8k=r6+R@4u(SHqH`e9;e+cbW*T(R7f#24ZC4bu zmvBu5#BDLYZIUP7(2OPpyb?47&SMSQ=1}F|bU6O8M4`VwLm}UJwXDefr-`K44%Sqs<=lK<+4ioC*Q= zFg1|rRl{U!QFt6b7#$yJ6X2bGVZ=)qkJA zLOrp~Emb$pgxntnSvm(Sx~^pH`&blws9!-Atl3wr#I*(A*9<-74W)uA^U=tBEij?3 zO_w(YunF8_W$M{xx2jA~q8T)LO9=0+M>6`9^}leO;mV_Jft7GZDd@wG)`I5bkyQ@{EGTEf=vPS`4feWDqAua z8t<+y7pPMH18|C(K`e1KIM4~c%Bx<%Tq+)8s0;)2NKJV?J-|>ahS7zLoaz(?tSR7M zzQ`kyKbVxcnGnpg$XijqO-T7Dl6WPG;B>@5eT#?DMHo?C0ZU8RpJ4)5>U-Jsp*LZz= z%5l+w+?6rZlbi-HG#7N#Z$8q|IL{hHR4|e*Ix0?2gVZGeXFK}NP`qTTzZ2=ET6z$L z?O+DJ)~dJw!zQ_*@5XS)Siw6CT?9(Bn_dR#Lg5RFM+aAZj;t(>i-{>O^#6Wb>f_v3 zs=Sp;3ouF%Sp_*Y1}RjJy;Ik$hQ2Q&xP}RSvw}2$jo+(Cw<&M>}$A z!@i=AsePeBXvXaD15*_G@Bnaka}!DVKU%H zR`ONOa{_fI*U5M!`_e|=WK`h2A>`(A=hp~>7Va?ty!RFg#V`ftVL=2-WOye-wOJQ| zjt0Q|LAlKW&52&@Jp3w>M;=FcV;eFSn2ZwVS0Bbchvc8Z+t!ul+E10Ih|3*C-`-sn zY5(yJ)Fvh(p)tB(;p@RjmV1J=;atnMK$O2a&l z$?eTm8c?v^`JM&h*PgX?5z1Iwczt1Z<;{ge0nd9BG$ zo;tFLq499@0ar)k*pSQ@B-w{w??jJ+I<&2x+7kpb3IpvWmNZ1d)!pmIpI$mk!GQ>%b|yKzqgdIX@i@FACh#NBL6Zs>FUGB?fOIZQGvg$V z0QdL=59)YZQ>=;>h&1ta5JU9EW*O7-jsAI74Vthd%VGF5C4c~Q{jgQ%=H{4YM_*fw zvZup#>AavK${Y@rK;Hnh_SWh4*(!GwB9!}%*d>KX9Sq_$9It9!DGm((2ryg=qo{u` z#5r@A-MC=Yr{OF`yp#eXvx+3tFb+#j0l>tK%F+?B2Yq+(y`^oJ3S{GQeDNTDZVZqR zB{vcEr*IR}cr{tk`C#0<;A@_^s3h7i_RLfkc2r1*e8K>j=;Sj;4}y)e9^=}cF8LH; zDAQJg=n7-_=y=%4lHQK}J2cm;Ko#6$clm5-78i+`zKUv*Kahk==*)tyYFY=JPN?gw z^1e}DkCj!R+`;maUXD!QkBm*R4nt`XkRRm%sg9C8*5B~V1@EPz0x;4Sub0{TbXLpM zy2p!}*F=c@kq3?Bt*nUI7_E~CHO53%z)HtJ-)tReH=axhvMj8a(}!xGKukmyibUGz zl$t#c1!J&{1b3Bt8u)-`rJj9hX*C#)0p_K>4!z2X1}a$(zTsFzYSpTGcDzibM@wpf z90dL_wl~%Qw5)rnq^}ae=|w--lA+ufom*hNL$$|k1&^7PXPl;?{_Wi;s6A3rg3ygq z+ys6gF%n-SD2<*M9y)&Rc%x6St)PY;@i?q4F@o&e3ORM`m=8k3$#qsszoe-ye5RP) zE6;5U=0I@H&^~y`M#qsv|DTTlAtMsved2+B#z-2bIj!tPXvKsptogTL@3_a-474LK z3NDA=c3QwP0;3&p2lrIAxZpw1184)*cf`;7#=rjB*MFCaz#80Muv&N=!<})@)#x8f z;%fL3ob-UblaODYU5Xj@d*#z0?nmVXGi+kg%NpN@J~8!srx2|DSEn!BRo)Eo-faDU zV+aRNS?gaINRl6I(zpuzeE3NNexVXOEcb7tdSJyoN3-72*`Y*kus!7CuDx(fL`1^d zu=e@KnNxsA*wio<}+ zIy{MIss%!_L|P7Rsn80cV22OhX{2026ex`@83)Njt6liN9h6rpILlnffJ{K zk})=fGOdbO&L9(a&UVGsnAE%)d^L!(3PzW-Hm@%84*9OnK!Lr(G%O$dREbfDrsre6A7gTKrXb9?53 zHnLAzEm+iY4G6Nnq8|H}ucihaO|I@nuQDu|eC_M6ogYTO1Ht1yu5R*L6UgEDc8`(M zvVsWoeCp=uM45m}zEa>=f1-I@HHpj5!H&hZm8=Su6-p$*Bm@(K*W9MHo~~=K%_1GR zQE_Pph#hZI*s|lt4S3{aOI9#0lkE>CXf!?QDGWO_InKp?(MBO4aFzZpxi zy9G`@i=c5Orf6MfLzfmo&Ps>CrWn8b_LX0b!}>agsZaa}rph6;=CQgsDqIcd@6Ic8 z3m>vXJ}C06$%;NaBsuz3sT-mTpZh$sY%aZlxs$iBnaB3~2~b(SND1~q~VY%Nf&Pin9) zwG)(%8vI9XOJUAH8z&BnXRd(8Sm^EwbJTY7X_J~G7z9s2V;nbCt(!!Q>9AjLMrM{^ z`o9OW{BK*nDz)s1W-Uz(siV{FIDVe6+Sm&PrRua*2bKU}iCVKuKownm=R%eKeZgvd zg2axepOcU!tuIjBhanONFs+rP`Dd1~!H|qt0uD@;*q8!bSQUohoCDWV%eTCSyE-5x#EOtk!CTkxvB3 ziUm!$20!hPsZ@^vrj*lZPQhC0Yhk=eyvR8CQViEYS^^|_BU-|NgvI?W4&_0Bk=Tl5 zD9DNSYo3t?ZCC>*nGO;a z3x!lgDSViut$>&o>JP>N#05IvUofLwIL|H~yr5sB5;jPf&NMP72#iSJtf~$@n~%^L zqLynPS-S}AQ;rmZybfsAWZ>Dp2b=&F-kFAnt~&Ye^>vVf&^j$~l3{@oe{95Y;X6B4 z>#dSNX!`VMIRgGk?g8iCNsNXwwCSJ%jnW$C3W3ruqJfvz{2wvcojF0AAK6)bf zhr;1hAo}2nSS7V3Q$5H5B>~b1x(fNuq3gM+HBf=gB-#&xthv~;`tXK=p(;dxY{O7< zv+ZEj<1VL4GgrZk-2|m9*pTcH3KMXLl$rU~{#Oe63wvQh@fcS3H03>7k8>jChh|O! zvgb+QJdZW`FvMe%co!S1lg} z>(mWU;1Q_n-qIRVv(`KQRpa9i3=KMtpbz*`E?6$N1cC9y{S8BXMb1WPCG|Im*8Fh( zy#dQ^5kT@P95;P%a1aFblihiau&@XGai|(a<;!C(ASY6RcGI0D)9ju@Q!Aei0k7Ul zBrHu{1zivhex_||*rnik<^k`;SlF^O^dc<7Lo*#vf3c z_k=CeNCo3}GwZL#%d+&$CeEF^s|Y>KL?F`3v353iEJiBWrfacmr{>SQ5VB4u+)1u0sz_APGteP!;l7!6(d z{Xj1RlA4rj%*`RD^(MSdihi>}RNVCSBqWP>s0oUl>=K^NI?REOIGx3`E5ABoB+|1QK*I&^m}F zhlOUXoR(bKYMrnv=4Gi!V7j?LQRL_m5$1r2gA9bD}H3U zck7#AX4wkC_o{GcTKuB0NpCOd3MGSzrD^R6iS!F?hf5Yd&`u~zRP*Q#$N}h}AAsPy zE#FMSN7Bg`BnV})F{Bw=(K!^r2aRj`XtZ7vO^j+g8StLy2C}Pg)VEgmQolI_QH{;I z4dQ(!Q6v~h!&P1|7a-{;)+osg-as&^PW8lbASIYvrAu7|FW{0IhK+#{U5v*Enaq(* z)44h4=JYvMJi#!>%sMGlFK}c~H{1-f`EFPIW^-j3v_fEi=A{}t0VoLBez1LES&Hc3 zdfhbV5WGOj3?k^YDJBM-FEYKrR+jVL@pFw~PIgWoJa~wR&PfE!m`HRK-}d*r{(ezF zXLuCzpvBRIO5U)b7{K17m;4cS%5cV>S@_ICQ^kRu)J;cy&_i?(qcEs{FjA!+o`v1w zsnONYFEdiGPz}6<{x~zy`eC*CN3E~oEZ5y94ex51M3AQ7h%gd~24RY@$*vc(F;{0` ziGV%83-!mG<#d4qdx!)Zf@fGD0pKDxrED-%;2C=y5EMT2IcRH^q#Pm+LPkJ_vQy%l zogq#O^3ROhw41POd}tyo0!lDI5P!GZ!m`(l-yAb*iat*IH=t&z)n8aI$v6I`%LN0+ z3ZnhJv*4=eTn%=uAyj0=fjDxo7H85MJjkBL_`}ln`U#) zsg#Tf=o^9}61x?icm2Moh1!ci%;KAsJeJcNApe;IHBY6N%?hY_NxL4L&{MCLq=?O= z@s9Ey0|#^L2L&fo5{E9U)INV5y8iNIruv{DDQt|Mes-kp#th0G@mWzOu|@n^aNb9@ zKOMtod*PcAD-rTY-%S!*-Nd_BzS|IXJ@D^nvXYdwp9~9lCK$xRnC3|9^c~b#PTqMD z>9j~Zm>8mH&_DT4-nmRaPMn)KM>NS!9NPp%x3-*rWmNX4&c%VYa@RsdbE27U}=x$c+ccc_Ca8r&V<|3RZlz7}bmX^Y`A4LnMf z-;$=BIpzko)xp+z&|iVQ`Y1v{#|L^Ldq?Mi_EZM7-s*QGmgM|8g`#4JmakUpZ0H{%J@L4kTaX@Bh?h31}(HrRjn!l zBJmaNNaFH^)UW0Z1lx<|9)s3j+xy8903wI&*}I2H{Z-(YEZgMDxW-od1t%?{Nsc5E z)w&hF>4Z<966#SLoIw;bB*?&j zYKV~>2}$7OL-Wdp>DzzhJ$nF1gEwKMWzLG{Q>H> z^`xC}ou(QBE}@Jz22v85vWF&m)mSQ{m@Jdyd48$m3D2$b&wQh0J#s>Ts)qOJt zZlpM(ci|M%G{(4hIizP^;$4_>b*iGzW0&V0pSG&W>1tXij{f+n^I9!}Hy>V%oM|*e zp<;v#JJ`G7_3_fTO5XyH2L;UqY74T0!I_vFVDY$4YUG_q-dTipkwPiOR?7-j2G9Kn zi!Ge9a86l*W;;j(wRVxUIPXCmaZ`rKqsTY|4$dHjb5VmF+YIOU`DKTWi|VJv)ad!` z%|OY*`LCy*daAbqJsTG5Jb@bXB4k`3nBXLdcLKJVM2N;vMzR!($9-7lGb|$g5g3f& z9EP1Snk{G#sL}tsq)RKOejn;!ZiLg&nhyZ%2n%Rv-6*z_VwJ9H0n#cE3FjlALlLm? zdV15;_EBvF>WD!z7-#gzk%3!XOX{d|YVqM|Eo-4pg?x5%9S)pXcT-Mnw?`7kfrue= zUyE=t{lqZGvNjM8Od3DRzLv?Hgf~<-9laFSq;=>NMkoj!zeCCyW1UjKA5utz4*rc1 zxWOTkQoNPQ6$bnuo1l0=ZEy3psfnXA;%XvQ09{N<0Ij+`iav(M_FBC^X}$xD9CC7~IOU2dhNwLP@! z+J^oL=q1I`IIl&jAa@ji}-fdiGj;-uNPn z+7*~QeBB8;NqA8GkGHp>O+EYB@|Ehyw6d0e#xK_r+*{h^hGs~>7j~Pel@ts5$3(-; z!7Lif_~F%bPI6J@mFVXN=_8C^Yd0F;SdJOl9rXNuuB|cUVpbN9?Y4jhbOe(VJKw@& z2Q>-5kzj+sN0WJ(&jSlHUb}vBGLAHlE~5jI7Zu`YC^!ivB&4ve0m{WlQcH`@5J$?> z0@UR~Ys=~(%&mK9C0^wKL0GvWg@t#zpHa|G?y5UlYg-Or)vw;drm5Bo&8pUQx7wxZ zSC2Lqs7!H#e_l!&{4c^oDOVmsEHJ_Y`yT|^$v%u5f3O$B3Yc_`S^k97C8^Mi^~c?- z2Z!m)&~jX~$vGIyh$n=O58))C#0)q5NZ40*Ql>=7CSr32oBw`9MrJXGiqd`O}*3J@($>nE!U_GNM?s$D$JKxkY;_4uTC6>!W(F6}xhDMuWIu_%yXJ z#w0+Cn-UH?(fD3t17ZPzoe{tz1CXe2EtVv?gtCk-Y3uLj&ix$JMj)f=)c*R`PB4@4 zCp--068^~$U}3h6H7$XDbdoPaUjSIJ>F^g=`~;)eUqawLOi|(w&2rtjf_8gEpwor2 zbEu9~51%Wz1e3$v!5%1vybrp#HjH&-rdG0S;ZQdo1!?{20a=T^YWJ6v&y{_M-sxs; zAK0Fh64GCV?h-P336u**hr!r=$k#F(!vuZKd0-y@G#0xI&aps9NGJ~?a?B4W01*-u zj;fq(#RY7#^e7#mc3>Hcb>kIngK1epUk^kCfoBjC2!)kYPQazv0~&$R=|LLM{IH0d zn2C3XN{qe{q!IL>kxY@_vU}(aj2n2P8H!7XgT_e9Ecr;QmA?#O85w*W%^(v@g_DiV znzZUiuYh!}K9igl;UQ&z4$DvSmBn+^=Kqd8qrUx3h%zB!Od6mT9Gl{2U0b~fIiyS? zdSuc{fXOFA&N50vnuju6GIovMp><}G^mC94PdZX3J(9%uy_r<5dP(xjY+>v%+L6P) zFyg{LCJl&U1EOG%Js_(99y!Gd5js2)nX?R02@67ih^iKYHHBOz^28(IU-F;O_WGzB zXFByyC^zy;A3uw7b15Ct?$+tRlCuQKasqrs;>#@@f;*e2NF28`<2p##L7D|^D@jk< zAkA=q^hn*-kx&8M8rNg|5b4kg(iPvT#x|ACIFP}N%2IPb4rnQ_g0@bSDtbLnNMtgN zCi2|{-(Ao?lR=D@Xr6)>iMlkV+uMS(VgTk_mx9-Fn=U!?k z80kh;bw<$db4qCle%YXd3tnKjaIBc^zDb84qh^soFF?7|&fyxQ+7AWa(1H;NNTQWg z#|N-(mHBqWpRf{XCcrI3KFQcD!4bVD?(sw%$z|P^4h)n3Q6C^g)eN^277m0nE3D|5zfYhLh{7%#j#8nJL4TE| zdj{AVH{qZv;D+ycvlPQ{)0%-nU4gURPCjO7*gvQa5)Qc?)6U_SUdc#jxixAYW5%tf zDSgoyW!U~py6lN6loT-Ro?F?`CQMmK*q|N3VA!Br+ay_HBuaqv;y^y2E6i?DbC3Z1 zVDLrg2f9$%QOGcoF6b60CFtUm^Sc!H((wfju9|0+)2SxG-*?N3KDRB=V$#(K#bJAnOJ2 z)Nnpks#$Gd=q|5hTz@bZ;cSPT?guQe+rnYTXwUVN)~^4m?q4blnGl+G2BBGk=fm)V zEa@_!CM$OjHn3>IwcXD#zl>vVi%)t^%RSeS zJDa<>3xr8=5Kn+^7;fFN(hOEy`JjsUl3`8$xKqsD)V()GKpUtl1 zSQuE?BeIfjJYH!JU{bR2WH>s)uwxW@ukXfA29gUJ;FRKA6LKx&nRDkF>~5VdkB2E4 zsLDQ(y}i8vAXP}=xw&h$SR@!ajvg7?d|(!`FzcZQ@Qqk<<6XtnCI43(V+ZN{01%v> z@Vb9;yg>&m;Vw@W4$RAShGVzkVdDu8+d^{K9EBr=61x*?Oo_ui8EfJ&I68TsWRXI_ z9Z7$imi<0P9I^;%Dbx(NUj~+HdBaO8bBz^LU+cuOST=A;Ar1`+6<&yHl_S3b}+1&o86w(cXPIf9`d zBV9t(yKc4Ssfo{5l+8n9<$_QLf&tR)rqI%CkINs$z9zXvL~Im&`khTq*NY9J>^;LTVCnCKR|0=QsF;-ecMVocId=rByVu=Q(y zS5s@ADMAaX+wAF`d5{TbrX2wy3HXfz*A!6vBl(`ORv<_qCQpgO)8f#x?oZnA!UU3? zrUzZ*8oB{5nHShn(qJU@;3{01*rXo(b;rB|-n^#ITU{8ru`=6-@7$5z;TsO$04OW4 zo}FfuVEjq8-Li)R%)z`tG6x4PG!|S;vaj*gNhzQOGy^Hcb-kw>vjw*~90_px`hd;w z2WlhhgLITTM-pHqG(ij`!ZS?KQU={w9&+K>ObSRjUt<|agwCV8-QO__)e}UdViEX* z$%-s;6u^__5SJO$gICFHjP|6S>^!*8u3aI}eGwh-qx0$4D-tfX13EcI6>rNc%Ms)oI&Qs~`b68ngyhY*Pj zboa&Sh9eNL;k2zhi$@=x0JGrEKjp3OamtIu6$IOIERniMU*QmB+gQk`CC7kuf zLeh<6m_pDc7@Il0>y~V*Q}5b|U5(8S5TLfQ=+3N3s(>?0$<2FVw>kPVNNDzYU|aQU z^1;Dy!VMk2Fb*c&89Xb=(u|r27z*B2gf!`7i&j&Te(+CWR%^XJZYtb!1hTM{x*&Q!Z3#&MizGJ&KhnFsj*`tAS7P0B729;_~dj1e2CXvtO_AvmfVHaP#9A*xTc0iXiz}~g5fSU zLFi|^_L3X~>f8{0K?}ui0>42=o{z}Tnom^fV-!kl^uZEe$e<%qU!qkCNSQe#`yFVV z9N2Ay$#AZbYvsKEg+WY8@_+*9b=~pZem$6|%viHC+oTR1q<6V?p;Jr+Pa#NcWfF|^ zg9!_LbOfcorBYWEKwy2Wc;r`iK}7UHV0w0%J=+<~yrC65&bbjx)FC zuzj$1#~1DmEKTUI*!iN*QHigPv5_lZt;90iBy8&5BNtCJ1cU)5673kU$=69;SeQT# zO}Fx}i6N7tK7leXcozr!;QOk1ISHh{skym0W9C;ny0jX7)ox3omeaU~0Fv68kk8Tw zhAiQe0i=Hf!I2rGv7SH_trN9$W)Nb5k{(;wjgn6lT+0Zqv9H?YOWPa3Zn~6qEhuxXlZl+X}n=6 zB7;#j!gv&eQ_gdHbZ1VhZO|vxq!qth3K$c!b%(+tdnBDgBqoz0**{{eO6em6OX11eF)C%2{n;YMRd(iSd6Frfo4kD=_S z&qJ8NP~ivbnig>>Cq0xE0Y%Z9gCC3?1uu~b#TcZ@rn0o8{*HJI0H#SzZ&3pYMnJkf zwrfGnEJ9}LKPwXl4IqVZ9Q0^xg$fa{7h87?1_LWN!qmM7jaGQU|0LceNQIUs9m%|V z8t-XzDo2nv=t7`QQ8FuTqn6;5Fp2%s`|I}C6~u{e6vGkgy|k?aOd{s=47;+gl6r6L zl;$g-&>p@AogC&`=ne6sND*M3M`bF9BODHH7&6TTzAb^K0)(yCO-e`LTpNim>^}Xa zOHkiT2n|N)0svGTLT<_|s)@wp=FHLF@L)4Gg1xcbo1?^e@Xysg;1_8kH+hsMG%<9i z3QL_%3#+7z%QW6KN~7hi@aEE$>bHk3;aX1Zz<(|awN0t?FI9K_DzJ3EaM^Zv0rMAe zU=5U>nahVPybFu#_Z|pX!8XNX0?C7MITF}{VbH%cigv9{hUkR^j+j?KReHFV1Gt*J z(<`S7OjcXDJ?s*C!`YFSg9D7wwwk)a7A2jF+%^-H#AkAYkV67j-jP3i1Sd)h4htE; zaR71dZ)YDk89?*Bax{<7sS>yuLusK{U?g2YeImO6 zsJXTDiwT2|pa%gf5do8*`6#R7+Z08^AXSO3ZC zMQA&339;Leo_jXZp-*{}!1RSQt<3Bui-i+(UZC+x@7B}jO}2Ito$f?Op})76li+ZNk52DF(XZ4fcc z%%+TF*DRcRp$Cz@wKiXHd3&U0`@gmHq7q&>C6<2$)x@6@;;T0*cD6oYmtMrDNK9Ge zKt#W|89IAFdTasno8ZzG8_a=&W_+_FgN=1_ z?0rXLRxg^MK^&};6>>Fd1U1@TReg|=da+}1A)S!97wmJ)EjgSsiSicx{1fK$G=9Woxg7^93`5kWZse^ zb stS#=Kst0Y@bXdWZ(q6JVrBbsSrtN4s0j5=+%jPVRlPC$L2g6x*{ez2&`r>#N ztb?qWZ@_V%4o#0pE=Nus9%U@f9{zbl0PGW;rW|P)Ygr{(E`Y%=9Ou+SQE1li_rBY3 zE1s!Gf>UT$**1zwqvBlNdN#q zC27HhPNZ$OQA%0DD&@y$7ey)Lxk8=l-MP(0 z>YIiBik@Lgfa^hFeFl--w|(!getILw&|3>g81gU&mk>+Q3-*wL1P_n&A&_|F*y+ve zEu=_m3akQCdPoP9L1;qfK}cG@cKYH59b%M=10BW?2}f<=_#;!4XV-Oecqe~=qWHtQ zj)W54)b^&PF!l!845es{WYX}33E<7p$j}98g#OAUNCnYcNxVD6#GLWQ@kR+;Wdj-N z;+uaJL(tlT=Vbv5dqH{amYm}O(u|Z2N+!p(g6<-X)vu5FZwa6)S#frg+Wt`OH2W(1 z!2V^S9*bt7`iLjqQ!*E$}+b02k#t)Sax2? zN@UF-(>?f9tK#5*kkfq11lLVV+>H@G-`4slz1oamEf)_7&*`&pm-uM|bkRDkNiF$I zaPI7>skiwwjB?EfZEuB@LFBn6-=I@uxyIO2Q3_fO+i(k>24rRWzPou4LuoCewg3c zc6vCU6yfk5#LZ(Pg4(t8$B72uXmZ?OtO_QYHh=X;^igZ&tQiR9DKVm|Leq=_?ZP2tbhv~EkCIykt)>^CL#nd%S8gK$%wzWvSzYR?r&Lpa!Zsa79 z8izZsyAkpL1Vzstou1G#&m@fJb@NM;ZR+L^1>nd*DZ)s7$wOx(mULfESE9f6k|5y8 zoCnVRgDPMhsX+-Gcy+Cp&NKf$^LktPju*aPGz-#Y>lUKgWzpZ6>`;gZxaq6X}FjR!n|VSyy#Jzq6wlLQcb00j3b#nVhSLAp#N?07@8=~W`}O4W-R0!|BJu+ zVtl1+3H%>{G%q$|V>R;qoXXppaIjPXyMFL(j1D-wY+sN#I>uOl1a%kPa5jk7ziYk7 z1Cn~b)RUhnr3oAeWK24=H^}Tf9WzG$5??TTAY00(#0>^9yX=^chT6$oES%5)(N7Mg zdf+$hol|_lp!!fLc3Ke<1PfOl4kHqiTR4>t0}||mFOA{O_tO`hqF$CXXKPHxkzrNo z*G+uFqzK^Ep$K6-ReEn}hr&^;mpTtd-Z3rOYF49T#j{oF9>|f5ez&DUrP@oL!vZ6n z_7do^(P{s9XRKRCcP)5Jdse;~ad1k88HUaCPGU@c-!yD=$;bOvlPj+rt9w7fL0CE;0!}!v z?Xb}3kS2P@aC4IwOT5-*aeYh;eix;UU= zCSq`hut&>;L|2FLTxhx@-nXF|Rlt}`4A6S)rl2K0wBoTx&QkNORp&l6Eud~LYnYAc z46y?s206Q-ZpYk*o3S_*zPz-0Ieh03SdPV>Twl15Burd)I~&*GFJU;p>quQ>PmF!~ zYXgTwFM#;14a>SMu4$5kGB*}`<>MFE!?jU}RK2>7i5$CYQ4vPDqz*(ff2Ocy3ONFI zvhl%f)S;50?pC6XX>51BU@7t2O^`+>zKd3*!q~ALs{btwyqV@S0K|8cg`$1^Qdoa)! z2^x(-qLA%hws&pkGieMCluHfrVb2p(Wf09GI1TL9Qnzy?;gGN+r5&}nL}gIFj}Su_ppnrcohc%}p3 zxj*+p)f~ObkrZ%6Rgiun?=E?L%eXTF=ZH-k_~m>{_sUDJ_4-T7Z@hfyLPhg6b8HY6ub zm4kTox-^NSV{dH?u+Vj4K3JlI705S?;J7<|q6eAcc(Ns6pNnhx9*`S9cjq9T(I9zz3}VaMEQ zf0cZ$`qudv-3Z(5uUk}e2@-&OB9F!hBvKpWr4L(g=vBZr9|$tRwF_h=ZybpSfqBTU z4%H?I2e|5c&oqY6k(}3LaeSLHJYRvxwTW_4F*<2pEpVj8I(F=TG`eH#Hv#qBf6t^d zXD$tL*74hkd;g&Ze^H0kkjcZa4qy_2wIK+st?S01h&arI-RTSH_`G&Y;6b1qC{H~^ zU-~&@3zLbmYd^YW+n=e4Yrqy+!$q8W7mlCFEhM3 z!CE&E)J+z2@0?Nk#X=|hR^-u5|3IUDOdbC!PNyb7_J8Sm8~C`YGV%Z1J9j2GleD=> zlQvD#_GB_?Cv8fn^c863B%PLkC@FoR=n7LsVg2D!lwAu|IY~nsx^+ou<$|s_MNq^S zihs23e_@#dqKhw-U3FPj*;d7MS%HEAuB`O`ea@W}+~4Q_By;aQ@8>+{?K#hR&U0c2 zvf7p`r!A28X_f6HkqLbO9+1RnlX_rdM`>4R_h39ovcfo0^FaFH4OjicQtWGbkLEFS z?R>9Y@#cgNhR_6dZPF=;!>H)cBU9t8KbVe+gii;dsP#0)AwfS?PKkF%7|3?&aweVn zxU#A)t96n#CxHdBb`n{taS+ipb#8n%s#}{teGNQ2yk<)Lo#w__X-(vi@vr$;xb4_r z+f@&|meBuBJ<*jPp7kEul#zYe-IH{fJBrn`-qaeW=Spno^-!ipH`6^PXBfm~)s!M) zq6zNEKY>&=ISjxT>oc2r<>S9fdf2|>)At|xb$UZ)dnzik_!JMoK*1C|?I)>4R*iT5 zMdA?1foTB-u}RSKfnN_^xV36z%5sUv&HNf3$)PJ-mpM{wv8{PbQVFcNSptqJXD@CE zP@Tv2rF5}|_$6tvc44&Ao40rxW32@!hX-521|Sbgx=xO5$_tw=kN>UMk&X9srJI5` zesl7AH+0tsGTF3UCQ_1|v~HggEQVVr5vVcR*rp{X8xJ^^t*M={x0*H~5cC=Q`e&az zJICUd!$%t;yXW98RQ4pU$`;$AOjzDGX_WWu?UPDMXrmP+Qb%uY(>jmbZI$$QVaiom z09?^+4LGs5PBLCOpOAo$^^w6Q(dEV=Dzuzs=qTQ+Y+>G7*W-;JUf;3)I|vR7StNJ7 zPx8_l&ka;nVi+JPb}mOP->~j@%Ax;2xM}UVk}6}=OeG9B)+U1z;U+k&dfzu!$=U_N z=)T@e=Z5WHDK;`XQAZMD)Qj|wTQht*nnO9FXpK05IIOgRB$Q!GUCGaaFU@!>{)k4Jn?7QbJAPx_}#zL@0NFU#g|?>tNAT-5VA#0@u3r5L;TBQ z+~M%fZ!c+#&7Q)Xa3FH(>cEs^IKV&_K5 zr0qMmTJ+AInDY_@Uy{jq{ol>uR`xeK5T#(B^tPt<_@h6_H^pb)xNx`7%khhEyn*m0 z-Gu>qhNDvtfAjYA&5mi)8c#grrQId%E=nGT-?z4(eCZ>+QKN#$?h27xpW!sn#dAN6 z;u#TXe)Ga4hBhsZVO%*qni4M@kFxvC;q?B8{sG}ZuyN`Jj1@9Eel%VF?CU50B0l)Z z9xc~mTrZUB7ZVZi6W4mP>B8y#E5F$oAKF)#y(|>klQ@Jismz#sJG^QGCLP8dk}0M- zc=PRk+D6z5FTc)~_O8|q^j{}KT^TYeNp@Wey@k2_%c3kws z&c67vPoBO;3!z2mq;+aOllnG92_OIhxQldqc-kOt8{WZTuKFPT+z6CsGWk{03h?7IU8*}-VIH0Yska7Wu0>0z@VRGpM zw3>Iv%xPS9aqyCyXq$t~n76QuM?rTg3FL-5v6Jj+-U5>etrWcrE92^%E>0qU$Zv~} zZtic0FRjdON;U)2>r<_<{;$lOc-t?h_Ql0ft}J=z?xstUW^`ijx~^m+@!MZ&n!liN z&=pD2Hd;~vsCofuGqo}(u;|EvZXvEDtKDxp#ZO*2wR3)CD6SR9#D|B`eZhd#HES1f z5>Il=$Fe1<=q=ZNq~qORh1>>Ze_e1&{gLycX5!|rptGm(SiTX_T|qhN#7~Z$e!4q} z5Ffg|u;!G%Bl{;l^?`WXFJ^X~+Ppjd>!zL+{&sKe)?os(s2P9mN)#9jyhUGJ)d-sK zl2{R@EXHzbh$}WTouY?TEVS};gH{=1cUxm%sCswzimd4C7h;r}Pe53_VDel3 zb6f4GP_sa0RN1lcqeVSgFUvr-3qr6dPhTMosLW5Gq&7D-P8m)NRe1%_26x^4MR?o$ zzS83S@|l)a~2%82r5n zmPHaXSBlZZpnq@D0k1$=Ljs*5F>1GbeBj3&OS&~EKj2S{p&_z5dq>dC+Ohaw%l~T~ zY%QZ7o|W5>P#KGU)>*d@o~1hU>Yq%2p1x%3O*+YzOR_@y9_)K=+6c$g&S#uid}?C9=CwSmds*Lu^WhRR)H5;Ee2o zC6OB5^QAhCRjr~m+t$xutXZ%Y>-z!)14i5^%jvT2ho-q%ITP4CEhIkl75I@yJN~RS ze&MT~b#h7?(S=V33z7+2WI=Nh-l#`u4-~LGdL!ysYZ*kmS2edj&H1v;b9>QW#L6h> zr=3MteBPsytb_!H)Oj2|n#^P^um0X6To?D`{I-t?fiUluH=@(xNib^w zf?7B!jq>-dsD+c44L_e;3#rMy02IjAn%f(+3uCC6np*KxJn-_o*8TJESduryQ_Lu< z@viqOT9|wRt;BJ|H`N`JdfeqMjwuE2;ZO}E9^BKYbff(fvkqI|cc@ONdSNHb7AeZb z!SSnq_)x-c5>3&EX*<(BlqfL%p2MgnyLs`myG8mgwvR1nI}_x>h)w2iH6d*U^vk(s zKzo*_VN6X5Ib=CPKXmg=UD(zrpfl3oW-F1{^Fd+)r-&ToY&=)YWOz?zFL=~p=H_p= zHk_MGKs@hsTme>>M8&@VL-Ld7b}tkq3XQ#izQI}Q$g47-PA!Gz&SDIHAamqCmhzg}wY_*kIRYo8b7&EoLR)*ntg{qVN?dr)`?Ntt8w zObM+ZqW)%-s2of#(+W2lXNGYgZ!?)UrgQ!C>u>mhcz0~~nHv+Hxt{bvL82+R4%VXV z-e+;LGND%t55U(+tci(dtpwFc9E2j|)9o#ak36557N4FuEw_yLqr|_ReAUcORioVC zF$pX|T&59e6;;rubx%4N&-L3=6-&0nqovQk3GtEnz7x>ck{)S0dGZqpA$k4b<~8jF zFE$6y=6;+h>4x~S4|e2*DO!BF&=mjmAB0r{VK!8Y$hZpQ6bLmJQe&f98QW;t5`ba2 ziz!l)v{s>3`g<>y|4Ixeof_s1viBW91q#^kXjCPHUQrYxQcek0O zNdnSZT=He%+e3P~iQQqVgd-3rqu48`dK~NhUMiT6o8}Kdu!iS)Qy8Z(5{Zk*N()Ck zskF{fT8o`$8&()oCAo2afFD}PoMZ-Y`86(rJnFc=-SqL`#PA#co^)l$;#vE>bnP}} zw%KNiyxuymaLYfRK5GTi{8nV4iON$gW5-Tz{rwS_e=KN$9xQDgD6(p0$6KpkurXnj zjt@Ro=)F*aA}FS&9lP!LZHot6mFN7CQT~IpOqyk_l(KtxU`3oZV#YivDFm7`^w@|k zh4CdNR3ddPnj6o#+-vgFay+>wHs9z9m8!>zoX%*dpPEIAiX&D#IcYuv5;pBp(!JulN6-|}}Y^WtMY&2wTT zt5(Gm>8K{4A*34DHa~eF#a%@CuJonS+Y%5WW()#HOgu8Y0&Bv)XUid;422Xc)sZWx zuyXVf!=Ba7&ri*aWc8NSuN2juTvafE-YY#2Ju-dXPsAXXJhorNf`xk%woc?E79caY z*E}_4MbU6$g|k2)STlu7xvW?nq6LLlh*NnDg_Jxx+&!}a3|%2=8&%^_LM={l3yGrm zWict&2KLE`^z`DIm35io5iTYW(G-^JjLP*P2W@Rs~i~y*95Hu0olH&htzgvP5uDzrn0y)Uyw)ff!)}taeOs^)BYA@2{NyNb@ zY+AX+<{avZZMjt~Dp#wd`0UKAY>OF2GxAioDseF>%LTn{!IAdg?2oTr-z6IQblp+4 zviXfa9!|#68NweqT_&MdCaFMJjOba)HzD^HHB4O}QGu?8lb*on6BX`HSD;A+k{lPR zQE^8}S0>+hN zGEqvRDnDXlOO2kYh)lBL(QMmY!T$MuE4y^+0nRLWe^`BPYzrOB(&Ha_`H7PWZ(Mdv z<1hSVYWv}B-(J}31!(6Q6Lg*_YLV;KSXMdSyRMMh=%!a#mjyRJV+e$kN~H=53D{Nip8 z9_Gf`^-JqrMu}E7OZ%OH{*yEv&m^TY73@W2e=?fdc1HP`AMNo)J47oSQTVjoHP_JK$&dK}5vI zj?HyiMPU^scLSd3N1T-Z*vuM{{r(?vT@9B4{#p)w)yFR$Xq_oLR2}Emh-p1wX#=XH ztx5R-)1HHhmb4(d1|{<}C&|Z!bUo+FLR{-_Z+d-P93441{URM|<4z9**4K%zfpPct z#g{-*d5SF@HSi~8!Oe;XAe%Tq@=2&WeW56OqGaRId2kP>g~J_KLlPy-d$#5@=ujiH zh6C!!QI6xj9&>~}s*GyDWG+=aFlnC5PlE5nc1wuZdh^r4NZMZfwLe@sNl{+?ncyuH zW z8oG)wR2UIRQ9^A!rIpb}K{+~x((wzpsi1TZH^4(fHuU0v6F<@NL|(>`%niyv`Or^3 z8MeqM=$DkLyxFfi8B={?)Z{NJkq!l}5t23@_>c6o&|(4C9`S=|^G$qoc64UOsOZDf zpO!&nR&t36B;;vv`9}*AO;2u{Fn*=mp9d8=eTbIFzx5N6jFG*Ofk={3)!|`pn4;|} z_#;A0s*Fg9+2%pzb&GouJnVe??>_Tn9R??>HFNW*m9~{ir)5J%8nDBbbp)_aO0A+p zvRuHAn__&Sx@tYmEo-zGNfR0R&^L6s;zmz>+mRGr=uVrLXDe&idRZ)~ClH0}ja5d54&>rBQSeOJQe-fJ1G2L&Tb%V`S+#h>_Pd7Yf%bYG zL=cgxq=vry%Jj^*HcD+PqQppxGfEvA%^~$-6>`1S-DhmEbBSxmZ?-xQ^4Jx&*uVW- zh&R8Mo;OiO2#Dkjh0-rPcz8q&)X2z`j3iH$+jXOaMA}vbLPlz96EZS-D;Y^8rBMAm zclkJ(pB#X!%eczdPr%7&WYjoxCWQ)^Jq0o$T=a$aFEvqeGf}sU>`9P{`T+v>-T?LE zAeW#;zQKRh+2I!sA?hHftl?(!dz+T0AAbKIPTn+0OCE-nEP0^1Tev;lD=drVgFig0 zR5G4M?Pge>dEMtaXX-Ez+L5BGyDR4yUs?6UYTp>;o8MpY`?XQooi*MxYVvB&iOh~X zZLGfh#^o8~`)O7DML%8LK}mbzQ(V76fhm<9+3{kt+@e@x7KYpvxf~76AW7Uq(|Xf` z=ZL=8e_Ymc4ZnCq5$PbXv!3YJJL{Boj^qi zo*dYKrZ}f9fF-y;UVLuv$A!7meHfMA41qPx7@7DhKb_wjpS-xPDZcYdvzkqy??t_$ z_ProytcHiBxyi8xWFak?>ArPgK+KRE}UR=X$=WA)21R zqJFuW@WbQ^?1){;TD(MU+pmlN;?kxr2mxRIAE-mA?I+U5&ic34ek!v=r@Q_4Opy?N*}WSI?8;2sDo+bYRJyD8&8_v3<0~OPXLrf9(Cwy62sQ zB*Iyb^|fzqPr^=WnS{RMH~?U3J%EV?Q?wrDa!Kqd%y2kK6Iy4Xlk!>SrNDVG2@ero zka@G(z)yVqKx?lf2p3DFmU?#n)rh4tG(HE);0eizWNrJhQ&2=*9Wh^{E*{UcY4I!-N>plE)_+pV%AHVepsl&Vg_8guAk4AA^v{oKg-W&l+KOv$#5fi|BEI zDmL+x7xd1Li}(1M3;_%l+m3VX%inx2RX4vzrztfm^+{JT0TMhz($lL;o>+1d^92d| zC3UN4ek(L;PQvc9j21Zt$Mva(+34C4LQa@~@nerK0PN5itXeDUa-rb5;xA0p&4q%Sv$Vw~ZKriu`Flv&HJpTSGmZ;bVyoV`_O5%xy%>r7Xz%*6 zRJn-ey22O9T_4`$u)X468Wgk|Pf8SsR`Q^gSuH_S0hDSrVZ>D~UqSB}^F{1^)#Hko z%e~AkFZ6Obin{@Zd8@J8N;t?gtvqdAc5RYq=xcCmz5NHDcOipj(4qg7JiG55i)W-E z&7l5{=Nop!zgmyBNa65(>S*gy;UoOHpvCRQzD;Pd_}O1KO<%1OS|ih<#&^8172$i8 zY6wQ8!>NujrML^@5j7l`zdxSYRj^*i%5MlUH7w3>NU{%>y|hjigb%#H#YnXl5G2@44{IXmLYQ8cu3I&IXa*NWu_=yE!dXX*# zas;zo`$;uPSB^5N#)N03RF$BF@y3KvCwo=twk?POyi{mdlbm<>(d;>kVw-6jjfdw& z6OP!Z5m{zTRi~a+f{xf&mYWLOY@70;4)gN|zcUoEM|{(&L0Sa|-&gyzIwr}JC(ltg z##=v|&TSsmD%E=IZ@BoE8dp$R>jD~&476@2qa9RKVdKS9Xm4U`yzGa%wHliwvIgOS zUM-tV>X8R77v*Ez)Nu|~kNSnYC``%5{tcoonmaS#YSo|sE7P~*ifLf#8NnEmndLV= zciTXaQ5AFV>N`YLO3$*$I~5>Qt#@UchbMzmdHR{{JDsr>r@`=O`){VjM?RWo@ir~H zQ8q+__~^$L=(kX0@wVWMC9#>7XUuYRT=g9%a0#)rJQ0emF1wC3ZFe4w*s76+0ayig*OOYEodQs4?yTj6X=v4G3J>c!!=%a~eo|3TvwnEsURq@EjQLclUgfKq#t3IZ;&EB+l z$L|(4#vi+wYbCmy=Pim){xMo~c-!1LOPL2lJrk0wVF^m&J*`xMg{CDsfmRi4d&aw) zMine=7urDG{j$9dq?2Av2&f+T0xY+smk*bb|e9T?5ebxbaLIID#L(P zd%r^+jMBVeBqF5A<@BFTWxYat@RpV(^J?iSHC(T^-wSQHM?EZe*`2bF>bZdNcfxL) zyKMNBt(v&-JY^)+1T=ctW;tBxnvLL%9b8VHt^e7F;i$k%stnH*iPJgtFq_dotz+Cq9^+F2Xznz?+}yCD*@14+*i zBve-V&B6bt=UUj|Yyr6= zf=wI~vfr95k+*;A58C4|b*Fa3b1S_$ZfCXk z1}l72Le61fBhtpAmnJYmP%-`s2aM?JbHAPU+ugxveB{@4y&HUkKPQ9d2r8&QBZL%8%JaU1ecZhXHipLuh?wTtuJnKjF;l_*mnycO=DtCuRZDHIcJOQ#`yp!Mnv z#1n%m8C$+(bAGiaHle&vObTZK{eL- z!dCV>1|^i*r8%H>H%u?3s{B|A*-tz>rE!Q)JEo)3zSv{nD&vGGT-hprcD5suqCB%f z?3z%Rs`8=?zwNE`7dc`grr9Pt!?J-|lq9T8NM=_{vVn@nGq!wis~wlffD9IPO0F1j zwZ@Z!(cHLtnHQYK3AD;HJLEo?vUn2M`+*zU_Lkjel5u4co)L<$7z$>9@_B7b9@y)`H`O7#!(Y=k00B$BPjQg4SRC;IYA~H6<+L{ z+kp~27>oACzYfxn*KFO*pOQmsngN`g8FwtgL0r&i67QOvcfJU%OPWG{XcgcRjNACg_h$5G$EC9aK7-u%X3Xzncuk7R zQy5}^(Ol>f=G}MlxbtWV8I&_K3+Ed(dggPI3wz2rn<)QrW+}#i$3dvKZi>douC3cB zG?zQTGSl5UxIF7;hM)6LJTd4^M1x6WG8}JW%au|5D`-*N$mB3W&rJ_eZh@dBzOOsi zv%x=4rMb4xBqK2nc&d!;Ru%E&V0`l)N$m~5zP_8a|~6>&F7$JehuhR|2G80+f;ZVV@6R?A6%gb1j0rZGp zimP2MeM1mlqhdprCad4bei=EH;s7DKL8Lfj&M2$J%C9&d@);y&?L^4pbU$p2%h#T{ zN{IWqRx6PRFlI_rc1%sg?|7(_D`nEFY1Ix(VlO!yPsjwmP^;%$K)c20z?VTw$xpz< zSFfr6NWAHxPPx#FlYavXHp#N_@i(R6SbJanRp<;x`gHvMWgW9tM3E)44A<&Vl_GNe;#@+8}r#|&SiK{)F9wh?OGy1p1GCYwlA8HDMJ7T<=(S&Yr&Q}YdxCP=`K zX0kOCERAO`=x^YRoN&azy(LXTxp;~yt7%xaJ09p-Fn0xk_{RfhysKoEAT{{E2{wu( zTrwcyppP!ZANtRYFiPfS@+_3PS0P|1thspCds9>6LrePZO}J)9`=`(JEc`df!WH?c z>p&A(2Y7G;4Bd`0mt93^S(5?6aS9|qs@qs$vkeJOwb9XKWR_rivT_Md{+%d9l(053 z&VE$T3R1?lDnWiv4lF@pYFDO$#>(^LCR(B}13ra8LK})&k}}K(?yhCFANNWcAj2GS zHj6RUh*!&}ONnS34c{O*S{#yHg$Un>5_K`fVc|>mthUhB_)p;^VJHBB9DsgT@WfH0sO+^x#&CgwLh%225M_mgz$wrg*{c9$7Y17xp7)6<1M z&_t(f(qKe8B8yVpuMS-E9?|R@O?sbVn;*sTvtGdYd%6NTu96KRRx(q)Q{Y zGEa^Lx{L}MT^pn>iJs-URZ5jqV1uLg{L4KT1V-h_g}asSj9hwzOdEVy{%9n4bl|J4 zls=yr$QeZ!cb3;SQicwI_E*P4uk-Zbm)@2ClC0Pv0P5D7S{Q9zgN&Ye5OgWuFTg$8?evCR4k=`-4ftx%r>Tao8f%9Nb@QTKq$#rkO zB&#gyi{dgm7%WX3`<0as^5DqSg0n@03iYx*Q_YP&q`m;8*`c_)XKvr=g+yna36yaz zr&Ka!6XU2JPmmR6t+R2{PEY*Rm*Z{i-YTa)i zYy5Ep;2tf^!Y?AcJw{pknE zIP~kdFQF~dtjC-3B7j)AFJp&jKCE4Ur~JS6qEHGffR3rE*9(1n|B-bm9r)JS5;#%~ z_o~s5V61t*($ZQk#S6`iMpwtrtjkf3@I&&+;j2P_xAOIMNcl?9Ey+p z<$`HCzY7jIaTr7&@M`$fk0IwliUDRex-WV%FvV@Ia>%!-rK zm{5a*y@x|Ol2tiE{r2o7DmP5^rGC>qj=7q$;U+e!9$ye>sZgynOTSC}UI+{2|NN_m z!y(3f{qnIlY-m#ENM?J$e5BIPG!!H{$smz&@j`es(_>rd)^}g@)u-21MzFk~YqZGC zp$lG&hGdZ5j(kkIx3|Txv*#aLacG6i+Ulu4zX=)1M>4%I&<<1!hlezl3Bc!XPpM{0 z9WaO*l9Ely&(TqpefWccD#>k}XBnf?rEjefwEQV}2i3}Bh?Bep+9ueC_>mL0#MbV= z@9BJuq{F}0rIM`Nx(g)}d4e;bsy0>3Loa7D z^D(Sqe%_;wU-iJq0OuQ=`%)7hd3R@i1N?UTx$7Eswt1t@VW~P`{44ElFO@dK$56cF ze3w;7r=-!XyQ(+^+Un+vSZARIrkvYm>oUiHw`g*k9YsPBCpU_30 zD2#Wob<~Gm%dxdaQYKIVq(b-l)B2{=2sXMJ?JhpDt#97xB_qAPEV;A%6hx3b8cl1C zG>+Mall7K$l@}^x%i{GP%IDA20W_P*NUr&TPYDNvrbN!1)V3InJl5htRiyTCQgQQD zi~3lZW#ZKP3N5kyblWVJ|M#tH`0oU(h-Ws%i#6T2#UGZWwY0l-6oB7K|L< z%~q+UfieM)NT@Jxk=!Q*XTm}b;_YMFnRwvn$^V&00W5zb%7ETroR-VfWH^2q_Mk(U zT6Xjtgt-WG^QbY#mwDKLbJFJ4iLYp?3ikedriEEgQu@O*^SdoCEZQXZxMv6eGy z1dq_Do)i!ec{`=X`pDO1em$SobXy~KjI%lt&;9}zhtZ5#m;C2^dO*QBD@|z#AoOW( zV2rBY-~B+_%t#BVs9S3Er)sH}u0{N^+1<0uN;?N!(Re5MmyZ%e2=2w*_=b&6gb(qpzTRF#D``1&Ycl={R;& z&E!>EuIRkGBC>~NNR8r)&R2JeH$;0r@8=hWS*Ksh9GJ{$yHtS#K@vYJ)u#-La6dkN z*ObD_VHIkzQOVp#PMi;=1~gWuK)bIc>nb19}%9eYXM&_O(o^FaW2U zt8QQK4O<6G-12RazGC#I7XCcxSO5d<(@W+~ysfXY>*w39G_GzTyY z*V&~)t12|KDqV9&u;Gks;dD#;#Kv2C%0b+t$M}^)VvYr#rfyx(8a1+#LX6C8- zFeHP=;F9+TtsN}LL}Bz>AP~}!NaF|l=D8D_!^|!lgctgXP>EgpzxzwBeErXj9g7T6 zqyPVK)t5ZTc$$E{mBQaw7h=Bls-mnEf*o+V(+d?}H=FXg{$!Nm9{_!- zv}ZxBU19|4CyWu=5Htqy!Mhf+&$e+vIzDhm-*PWHy!Go1AM<37HJ_Y_*jA19|NC8; z6$6As>_diFVqx!W)sj_9az=U84HqZmcS&h81@?o{Lm@PkBk!KFb6`)Bm7>Y|;ju66M#&|Mu+{EBW%kQLZ&Hg~O?~C! zDYOyg=!tB##Ven9=8>U@ZhjF;2?M3?I)L=rm0oZ21VfLDGDBlwKrP1Ivr==5R*j)G zint*%1X%xBAq6ch$y>YA&B|CWEtPmaFptxiA5ZtLh?uvzr^wdWQ#eTsOq`J_vXxkC zgf3SQO^y(>B*|6h{V@X7%UJOpf4|or+x~@EJe^^m{9Dr(#>d{5agu-^5L&Rq6Jf`k z?4YMN5D73W52{Y$=bu%X@q{{Ju$7$PqP0))fOg#%F#=Z&{%k^$3dLt8S#}xDnQWOO z7u2-EI}ze=Tp3qYpi+1$OJR){jIxg9>8mc8E!9XAh?S}zl2JoS9aym3Zb^Z}xFo$w zWjw~N{QxcEbKTwPx+H|PQ47};bf3jIAbCJL{QzHhrT$8U6`)FuoisQqQ02uQZaLZK@X??3AYno)tV=xE z!w`c9paLJCIbDbx228}O;_Uqm*;T#-B$OlHBC92f1r^Y8oCA-E5NC3)d64nOb7_2( zp;?B^{47M~3S!R@j2aa*j6pn>)u=eM!g}UKjKbg(7v&{WEXjIX#jM7aujO5GQNt-a zlA7D(H99*N8zJItqrJVSfjHHNPkpEOcc_%u@gxU5~ ztZA|lPbyyYommTnL$d-ZMW{Y@ErNK#?)eRI!>9bIUfCUYO`T-kIr7O&`+yOg1CJ(U z3(8_J6?syLUaOFkazGuh>+2&aY)ea0Da!a>?Yf|gQy3igkIHtrRc4)6N=vXtx7lo) z!37X#DDjqr7NqR`V#m|mY{6v zkeWR(i7Zk-Xs?r1ztD&kd~}^qnpcv}P%d8l!_MwaCt+zQqu##IYN3h*7+<2|@7%3~ z zQIcj~t7JZe>BvFS@WbB~Tp4~qB=a`Z@R+oL+-grNU4c?ljA+u#?WutQLn(W05?z=e z$R`#EP3}x0c~Brqs<{L5@V-0x?)Ts>p@4B7GS6RpV$M`YYZ|R4%7>{Yp8QhVPE%_UNX)Ex7J5ghUpCC12C&Kcg#l@-*XXrD984?s8rsiYgJwYT#Q0A^4Qdb^2qCnQ4f;X%x{{qcPh7wHRY$3{?n)ltrqalI+b*yTt zG}~6km|*bzZobo9ItfKOeYM1~JSyL+E#DOHKj6x3T#OO8dnd$@ZjzNzAt@#Wusv`uJg+8O5Ix;>D)bq zDX@hD*C*Pu-Tuq3nMYVS*xhJ`WenP~>a`+AQ(OCc*;cN&7&G}+b>02{Qj|N?drxOc zQ~k$}z@D5uv`22nph+X+!hi>T9C5N+PQkl7zArP@`l%c_}F`*UN8RW=ll-~@i|N627kAJDD3sJ z$&X%S2!MD~B_kNs)S8@0m6)}qA4bC30@_D|R@u-tf++~W&^PqsB5Nlj-qkK{KtK)@ z4d(%U7auS*RhwGsX!4!+t;<2JI%j8(T#?P9Ig7#>iaWJH=)7%1?rc8RFy zycx+zQ)T?rSY`rWop|V>=eaoyjhLr55s@&c$`XU+=TQP5e~n%_qQvDQWs)>(CYufE zC`FNC&C52;VvYZKIFYjO&N$GZEjMK5 z9NuB&hU@mEmiTGsC%s~mDHvwUO3`UObYkGEqWO&a zlFAEZD~hz|yds=(?Bp{~{=2B~n6(f~Fak)-Zk(R{lnNl{ zi9I+NMt$z_6NAOLdT&q{zvIjq*{Mp@agZ^7K>Qc|vzP2Uk#V~>Z`pkA;+&7Js9P7} zCsDZ5Da^^~%Z(bv%2typj&7wGi{At(y3HFaHd~Y1(!n6IYClgexIi}#%U}bO!iYOk zpJ86|!cWShlRYNOctg@MV*{S)c5jtkqi9UjwkKRk;9L9evwiW~bC=aO*~a!NHnyNS ztoVFzCCbhrOdleHxwMFDvJL=*ZRj1)fWcTuH_Nhy_7v z5?*Ps;$g%gK5_cuZ!u%Pbk;#Zw;PaYDB0P?Mk zlTFRiv@}r(@_e`c_gEJu%7r8j1O;3YmAGZ{&OX3_GLd3#>zaAUCYR5_e!g* zsPiHeU$@{qp`si>CuuKu@l!ryuNE64kq8v{abJ)eylh}R0!?rw*|+gN?oFGXe!w@l zQ*{muC567+Kk({lv~j1sc}9HnjEgNNV7})xHfJAY_kAOtYf3ue?RU?b692J2Gi5mi zIe(kQN%MmMq@0s*Hz)Yy;z+t+YA;&Qh2pZ0-37YfhQ z+qqjELzON3P%E!mR$nJ!v5Bt88ZR^x&a38`ZTlT%R_61ctTW&MdNO|UFH-pq9cZi_ z==#9E7c$=u)S-vYenJMmuEma|EQnSkaw{o&hL&{Vl0H5eL#t96%Qq1CLALxGIq%jR zZ`+y;iawl6X?D``jQbaFC6vJ*xK6&LC0X~T4M9-G2aooltM?LrdSDpOS3qSl*m{_WEwrED0Pc?+AB7+7Z8R!K@<I`rWPu%;5d-pVUyP@zx#^2+sKdhn(L&C`r^7o!m@5IA9f{C;eKISl!7I&jI zTc4=sr^E7|9<{NZ$=gPDkSYkwO{;yd^XR*;k~XQ@x9^P)4R_3+c@ID(X!X;N?4`8o zA5LB+QjCdeju}e`l0}i~U4o$mEUyA*G8nDB;;Taufs+mI(IDVH^v1z>-}|O;o$n91 zGRrVs{%`P2<%a2$l04O%f^b5JOSFL8?DZp{^G@NRTgTXBR?+YBW(4BhDd=IKgv+@) z@A;iCZl!LlxR7o3Rk`>IsFnk(xK=V#LCds^bJqOR&;M?>SF+#S})Dd~fKP zo^#Zuz%poKO|L$7)q}g&cM~C8PLM>SExRy5O3l4<Is>Pdy?%^Pv;d z*Fn&A?R}}J?v(iy1v&^!Mwvn7efqOk7s+|`^^;$}&{022I1Xgi+asx_l$OP?0C~3; zsxsAWxEwV#I0|NgrS?wrHQb%+jt}0GTCn*4-tnfYi|@K(tN0ag8OwPFl5&=%IQs{_ z;3!HIsz8`KcIK??_MyNsYSnuFTPez{lEH?H&RvE=VkqEG@Jhz!t4OPAyJvC=dTtM# zczT1lxeDAh4nA}5fZHEtr?s6samzp4~1rs&2VLu{k)H>5Nd>45B-AGh@{|Z zg;dzGbeJV4)%eNj4X4d8YHawc{_nqo*Q94N@SVIDVINNai~gN}btV*JNcKm<{f%7YFAqxJEP&HN|?U;q7OITa>XfjtJ%0O~CpVWnARgYSJ0<4UJJ7zg1FW zDaRJFB!v9nOZWOc@3f3+V+1c5U(4>VEA&Bpwn$AtIhqQEA`L?8^PFhngw(>gxGEb& zR#{c=J4xXo*0+UV{**ziMsnf~$sKz`exK0MrzbAnNf{gN{&HZ6U2y3@_qiAHC2l&NpV(X3={;>I>Xk}F);ySSzU=uI(l{0U$(J}R zw4b<-I7f$4)iRhEc-Djq4scN6_>6Z<-@k3F6Dlc5V8Wd8cI@Q!kH09y;p}2$>#?RP z9JtFdZ6z6LJ_i=Sht4wq#P2Xd*+Q4hi^&FfMD667b}Fqgl-2W_;YTL3I(JO#LeIL7G4nc zsgxL}`(<9Zmj;x$=LV#fG0UTdbQ+j^HxDFl80M;50-F|DxQl;S`d{?=X6r$(Hi%75 zlTbGgUHZbm$@~Eilgou56qR%dAgm=~U59XdG-rPycT|h}q`n$-m2pw*LLER6VT)Vh zY&~nlTMe|lO)4A)MK=EF_4(K1xBX+oud}SpS_=};>ED_Dmi_9Ve7&W0N%Y?FI>dMd zto-V8@+pv0ezCn%g}p>eGZ=*ko;O>KL0^CFrdczE291WTG8ZBv_KVf)NIad&|E^amSTSI&5;1vEtFUCr^Iz zS8kLmV(c~w*4kSjQbHs$?H7&-nNfpA<+m3ZIKSWBo3T_h^z4)QS*RBOY$|BK_W{P< zw}5a_*vOVvFiDFt$Jmy-Ea}cU{LYiYqIm)o0!hx}~Y=9%Y zIk%3;8dp2y67u7oFMR=`Rt-O~x=tIRrTaRy;8jZn=3RSg4a8|n;Z)c{o|j!Na;Qu9eV1I|0CYm=q}4@2HJH=Lc%>H5@{S(3C|e(?>S*}U zA;Gpf(RAV_bm<8%-R<1g8M14>bPoA!n^Cx{Rphml#=QnY)5(c2u0nz#I4fILqg5e6 z-%7}>in1%WzqmEB2_aXK{4-N0F$8|;ff-TeTnh>KN-@yt7==azIH8=1hy)%<^H0`MA$_q zf?XtwSneh{csy_7Edt9G%M4U8>hBbv65hoqJy5p{Ls67Rrd?#eL zgQcd~qb7HIv6pG=b>~o12d-<6_x-55b*AaNtE>j4#wT;5#=Bq$(reno{&>^3`g8H{ z1DTiN^7rxw;b*F@#`K3TB;I7*))`OyW8ZY?$brEwzVCs-wwWH;B5gcV=@$D*#ZYnc z!z<51(ohB^8cY1r;qzy)Vi|aFur(fgVvZZ#X2iqaUecV|e)6H`q5iwi%T0?<{7pw) ze;uKySF#CsB5RQY%II58*yIv%++=#S;P+_?40IjwQe_xf7C`Oh7{@v_$5G4_DW zI49zPU+MXf`8_fLP9qn^P4i~l{QCvaCftb>YUtAIQ+4sg$^I}t`6IqA{kIhBwQJ98 zt3UbLYcI&$@}?@XO#BZQbv4EJE(@CCZD&r+ax=if!>o6I=b=nk=GD$@G}kYF3peMPbfu;b8*AhpD|v7#0Ge7r+ji6aORoZx17 z=|LVqvlKM}EfH!XhT5dzQ%8UVv;4;+e~-)ydu5sUveOGu`q;_W|M4QHPgckQ(iJfL zB)deNyqxL-D;5)pMaeA`ZyBiz7GrH>I0al<+I=Q*)ygY2Nv3$`ncEo$&d?*WF=lG+93mz!iFaiGy;3F!Ij?#c_jJ4-i>3L7%XPViE9F$+9pN; zUE6P`)EO~Hq+gW}KrC!6DHroRCuZ$dHIhDibR;(~e)V$@q|t-r_RJW`R*(YThurhB zU_-liMeIG@H?2w5#gnDrooxBC6&rWowy+RSe3i3q6Z=nZjP=j*=N|sd&pY}(xnHa| z&Ob5O0nP=OU7G)8NoyB)7vqSLCry(4@^YBB@!Qabwt+l|FpZSdS&N4-aH2HERW;@s zX)a#1)V``{hZ&2-7U`24NBMgoP`KP=VD*He8X9smH+3CsfRE9#hjg9$_9x--njfm zM>?MS_PQBoc@IB%ZqrWY@$aLcGfxcp&^JmTQwYXB-L|=?eEsMz|893T$*tSEtT9u& zd)9jKr9GWJ7jZmW1*6&Pei_TX?Z`iUD>H$tgwQ(oCVjH*L;2xVNof;#hzXSd3R zfz&R|!1vYJuP}4@{>KizR5Y$-SZ{)zw4ToH>0m86L4+jIfI7N!@6G`b;I=Q9D7LDl z?IG`9<|XYYK>3qelg;Rts)OwTtUa-=peb4^p%E1$qSkn&vsFjSSi0uVLSFny1Qt6h zbwwj~8C06LS0-l4`~0%b!r`y?H+~aw>7KHkA4 zTWziL2jxPmDpq@ZH(G>Y`LT-wPlJS9uw-v&*kW&5wN8y@)Rrq(3xAsgBSyX?@;0Nq zHR`vG|C92=Xv}VZLC!`ePD|BYO2D{y`9KU^VTYJvO09}IZlv|6w*TtdUriJAgQAe8 z=V~FvOvGM77qjsn=4PfRI1~Iy%7RD}42J*f0$tgA-2=_pKiw&>%g5y_I6k?%)HrQf zpa$>h6U;d+pAyTR@(?gRbfYc8+c6{g4u$ffJ*!{EpX%mt_ksubWcNk0@s!cDqyTF4f`L|BGjR44M!4O`qLeXf&cEhXPjR_gu63uf78Qtbr5;9 z40J=SN^!f{^{Mnct*rAW#Vj(2aW8jx#xYc71SYZXZdSh>xj|%e=Q({c!S*KO$M+$A zGJ}c%Ozmj`hf?Lk1M7?bWhZph#KyX>#M_?Unu||tnem-^eMjg?eiGHn&>hW=8NY&X zI=X_U>MK-yxD@HPGvx}0C#FgxKz1ofz9BwjS5nuhm%aBFP@P~O*dNWTcg&ILPEYHclHR|(eJ;(o56OpP=7;8 zUB*lEgXiaBbeODSMhA+Yaf(g8difE*P4uUV{dK_RFb-1!sx(looc3;q*&-Am_Ji426f=_6vX@f&w|B? z9NL$E-;<4}{xLf%j((P!>Y2mGH#b|a{=(poGS_kS6V!>Y=EBtoz)KVx6G|~njMkHJ zM_{CTNK&w{A$UQH32Lkv6UtOzttj!&vto2(PQ7)OJVH?xzzE|ukktX3cP>H(7VDDQKsQJ~lUF6n2~#vgx+?o~xENwwRh1*HM&2Br^60IEVU7(N6PT++R`8CRbChM%m$MIY8`gbYc zaPp-n#V0M#CTYdZ56qeyu~0bpuJnnUJgFgaAgX2hgt3(_5GDVhDv3KZQu3<7D&YE~ zYiDf?#IekQ*FR6s75U|wpgQS`C!e1b-c_M7TY{G zKK9P3%VPU_V|#p}tM{>Nci>->B@ogiBC4~+aetG}BT-4G(Ts}nP05(nt}=8lE@$qM%I35w41T!4_C!sO}HP^1XpfwP^v86oIEj8&rUXDgi2gm z^oGQ`va{d?!>Lt`k(|WnT}p#K@zhaj1FSmu6B<$E2?PX3uEn=sRaPb00q&EzIemCp zT_-{&b^`ZZw~AkMa#y_K8pFBzz03{o=3Z)@I|Q}x5|9tm?MI8jXn=jJ^- zz1rh-`%0IH8U?pug(x?D`O+^7+lS!{onTbhvr~M{o4myP&RUB~np?W++NzH{a`tGz zCjI(*qR1OHCm*`vADkBJjv6;f0uV_8nt0!*^giE2s}4o`!cn3XQn8Qw_O^I8nRsMR zp{K6IXfUEy#q0ZWt*lYg)7=t%G7iR_viDeL$;N+w!~5rpzMu_p<%a){u6Kd2t19#U z*VvAX^H`H zNGZD2nbA{ZM!XHhTbx%IPKzQke55#o!#G-lqA=cC@PZ=t{J+oIC#}x^|9{$?z4yAW zXWf45S!+FO*$+>w26oN1K#INLJ=<5~XJ!}5WP5J*1uktI7`v<7I*y4jDvbJogF%>? zzLA^CGa0)_Tiv@*HQ*NTI5Md!-r9xZ?0R2v*)v@G2zQJ(L|7S3;|gsw;o6J~w_iiP^Rh`|=)7L zQ(AVNZ2IIt3>!gQvgMWVO!VZucvH&-$@QyqE16P{PBmVXRN7kylB4fx{SrGHb%mu2 zR+ky*PmzIs^|@9zIGTa_a9Jk1lIIz+Dl>7mYQ}N6AR7nH?fenDYJGOZNh#TKb3+6k#mY|SpxgRIr zf7kNH#*auFsIK=Tl!#N)GFle`fC!pe7V_Ngn6t=a)DyKqUMti%9;IP%f!jT4d@A1K zMUIyp${8_tp}KKV)b1H`+?7_ z`b>fOhEY_nNtrZp8|R>uuQjADbZU(D#AGaVoB!_>wJY|elO{Jp#i5q@^b^;Z)XAmu z!q)H2{@!dBCJke=q4S*l!N>pLcTJrE(j26=@eiSeN1DQS{@cJOk~tAy$Da7r6H5cO zev!064x=v-(M-4|lG_StRSbwCoKQuv!f-rkJ?pBor>cckQ{R;W z7+utC;<$1>)-&rG0MiBMW(1AWZyG^!y`p%+*9(sij8$fT&>SnUpa{c3qa4FCzEa-7 zE}}nl6))p-XFGmXLsP}4>5lE~LjevI2Q{5QkUd5NMj5c28p-p6k&S&7RDq#W7BCtI zOzD9}N*ZtuMP9v~&MDT0Nv!;cDILYJ-|zZ}=jxf9njjSh8?lx@!~S2Kb&4U#ls?1y z^t7-C2ELagW&8#Uhl7*Y6pwgO5#%kfSO5%4dMIZV94?MkosN+Gz zdIRE*CWboCzvvH4L_KrTQBDbAk zX+!Kp(yIn;+Wq!Pm$g1=hZU2A@v|3aE$ScFy*!{yP?ZoOQLa_!cD}X}iW+dt$(A3u zRmZCE%F!#o>QPkp>bVF!^|#c`!l<&i6K-2jX=ZdR5>%=htQFJBAk*V~S z7a8%7Rrk>llBeFP=PiZ+F}kHbxdp z7M`b@F5jMaxXiu8`L$U5sL0=*8Ro4kf1)oy8X3mZaokxlkFR;3lAS)=_4ZS^R%P$* zrNztHTu|oTnoTj4Q+>Ghk-fCt*xtAY3}{(mY%(OJv7-=&@QYDKf49uaV-V_XzkC`P zS_n>;uM=FLpniQ48!iEYtt(tj`^vBk{^7J1>k{MKI-QTJT48=Vw@3Tq|RMP_= zB$z&ne*n+|J^-Lin56(zZ|RF4(|1lJH(VF?oHgv3vi2BVo48QQ^XO0C93R#jq(2;Y zw)^rA^&?vXHGxU{MWw*?NqK+Rp8Wi+r$jjuk2uv&#Pw4T-H9zX&p%VEp?9vN>8it5 zyU}4u51Runbj2;P&pSdPq8bp%Eta^`6VOjF*L^lQR+>gFLnXSUE?8z zq^;3d6W&jxB%QV)8T?VOOt$9C8K>n}1034l zUHNy_SCW-yEnIkp`1|mhA1ZeueU>l)VHFnKMV#5DjJOdggx<|zHZ{PA>%W$ zjdz$DU3~-c59KbvMJOj!=*UuX6Hc}NhJjRtqbsIVrEY9v(Y-g{&D0R!OwWUa+^&xP zapY&|HeSyc&L;V>27eNRTs?s#*t)^loObh~R|!$8&@`^y_rSg-*$|D09j{Mbe50Pb zkTFhdRX|o#a*9rc(olM8@P_SQJ0Z>tTdcC*uI|XB%fd7Qzvk=_r@ssk??cYex06U; z8EnO=5Tz-w0%GRpUxu}lM7oHfhNzsZg9;Ve>Qc=(-YWwsJE+1*dC1+9R+xp9xgwt~ z&E{mR9DRY4P@A>~^=L+7lf_`d=*Pq@rV^Vnz=n4>=jEu{Kv@h(W8fNinn1l!d*zDs z{+~0~YbIRRrp7AZskD*)06DD(L+t0usc|t^QHoqALV!M-5!W%oNK#^;j942|=ZPr! z@Bif6Gl3C|SIeJRS*j+WCPks|{=3W~-IwHl(TLiROUy`e(dzEM7rg~*8$OULs3OPI zr25qF98NK*92rgQiX{zaxfu9F_sF$y(j%wDEZ37D7mz=-5I1h~kZJYClcP*Kd$+cc za+1H-dPC)gz=aTfvNsr2OL!XAo|{Gr*7t8(YZ(`z(INk6_1RLT^h=43?XEZWbXuuj zDTV2aGq2vX>;821>Gzs4gdJScV zgSmO<$2#l-ixep=I44z-tBP#>?k1;rqhV(O$-u-yLxu8Y)mx z*Q*Lr<`i>S{mh}y6h>9kATDp45VnSGgn*R=K2mK(&>p(bEm50)TKmiu`y#2I*5zm+ zhbx~jt-rbMH`fghby_jhtrA~xrH$_KX_Xk62uSUMMK-X5mRH#ptFL|f zFP>f+WXvE2+9ab7a8_h?ZBcd=-Vd}G+>;2kn*-w)jA4F0&Kwn(4ZXk z`7?LtNu!f1r~>cD;(|9w!V%q=d{uaL{Ap^JQ+5NdSOH2~e_!ZLUi-elBtQS$iss~F z@9uA$IxyI=hrM9ebD2RMcg`73kydfSR-V3W&W2LKym9Dtol&!PlWI@`L)vFRD-RwV z7#cOx?|5jB?k_5YJfbP^TCsL{mmDnX!q#ALP#4&n)>&+Ip5ab6D3^WL>O01mnRfrL zGKfi4mo;Z>JldXThkd;9+5y*TR!b;Vlswr}-Vpr+@mX_xU4Ba~;UF zE)wPC@Yrqd8%+*wSlY9)P4b%iaJkcHRhD4%3B6@})U^`+-sBrg`bFHGWx8&@O) zD*yv_L-%;n_}B5BNC5Ic6pr6dXBy9^>8R{8J?c_zA3WAUxg*X+l}7pUw_d)npjcwM zZh&z>vmVJ23Mo^khT)X${N@{5Cl<(_<({pjO$4GA>pa%ewP!UP98lYD{(udXo4&Oh z!91Yj_5$8q5RPlBw!j!|P()1CDbdkh$RxH`=hytS51E}+eU+HIY!m9QY!JT>{Hb@Q~yY@_7B z?YXxn_TrJ(on=7nPpl+!tl~eX)JUKTDy*i#IabzzpU9EyXlsXBh0ggikBL2s%6lBf2Z(tlbfMdzgO>f{Eppy4>k3hF#b1@*(x4Tx8s zeR$c@RT8R@Jck(?U23i3&<+FHqSmLo=uVpY!{=0qoipv7)Mi;Z#PL20u#KDeG zoHO}io(JumQwcyuV#e+AR*XCu;#u3ky;dgPF>n@jO~um>HL}tEvzp^?)i6_LcDlFP zcIxs{^-sBgXIU(FDv-tUG+@jOJIv7(%T373mYk7Sf$*imA5>N|{w-gfD6#r83ascV zNCtm#+ARrsh%b|^jlc0y&E&u@IJ-Fd4}H?t24V8-L^NRzN6J7IVmjVv|qyUabpf8g1g>Qoik&6b~dPqCE*r zgYwFbrv@xJ@nV_7zxYz5(nUeq7dp>m%l9~UG9$;F zs%+{00Q>IxugJ@R)UNY!Ook8%I3wO$BQh1HsZpw6#7YI@9(c!c8#rX>cH}7{%h8f9 zZVdw14zI>0!@c2YeAlDojd=a9EIU9ahJkoYSm(?nx*7AUY-o*GlM9KK z0Mm5%*I|B*yw%C#FG`x8U3S_@8Rnv7;G%PTkXGb(H7;6XgE4JDRsnUccqxaw(i=RH zmkKwrR?anDd~ zCPi4O8s<$7FMb&*rwZJ)O5lcqf?*v69D*`!Y|}Vc);(>p8fpE|-zGPQaTJM#+%>uj z94H~9(@AOvHN`07V3!Rm4ja{0RH62A%4X+tfMnr;;dDQ3!`a9wB@5z^s^Nc`p%#^~ z{+*wYe*iGUTPK|yp;HOudd(^KhK?_2J;=3vZ#-|Ej`q_XbdKGp+6<71IEO>Y5i}q= z-3&kk=%m10Ten(TURj0CHvpBJmpps!!s6x8P{hbz#i1oi!F^jS>ARL2tOBP5)JT(( z8N#IGl4nM*BA;T;W+;Kd14LM~gh1ycQR$F1{%AoF=4f2G{OxoGm@7TOjJvRkX}w56 ztptGs-#{e}SyC5ig$@3lAIG1M7|pR_ zCV@5k?L$)+zb{x-$I#{HySf4kOxv)u@IR4XpX2ny&Py^j96P3Vf=kV}jdYGe*-7IC zkELu>J^J8ooi~qhs;^}-?KkPsc8hk9!sUVH=+yp?-P9Rb@kX*n**dD;vNqSgk*}lA zsxiwLa!F9qqwO8ae64#BUPc76i}?Y9SrSAY12^)$(fRofFbSAcJ&$lKi)@+UVFDsw#_j zhNdbq$j`jzGdWA9e*VCP)DvC01Q{e@-2Bs4rg?rPJUiQx9W~Y`Rb{$0PM&ICQ9L7} z`#5muPtPTs@U|iryQS~FDa2fvTs~Ya^}w*c2WfHW!7L)BEC9_ z(EZ3;jC}bT&IDd*Nq)3%<>!|P;G6o{#ubx((_}YY#^?v4r3tIwo#(~+tsqpb4#kha z0T6M%9;AwpD+<;l^?If6H-fd>*b(HL0giI}9{8Hvt;S7h(|X2GsXnX1yI)d+eJC6S zLj~rLXgL{I>K)sO=IBG(mI9idCo-@{-6ULdpFaXhS8DVd&1#&P9VZ zR-?&NcXllu=)CF$O({6>EVbW_e5zet>Qr{;JMk)}LVe0SC#Wy7vd5!vCUUA$ctfMq ztx)Xc^lwV>1kFY{Z>p@Al`LJ0XkkqbekT8aWR?3CS>AqK^2D*z*U4^zOR0Qo&!@n* z-^hF`(t}5ClwjYXO2$Y44A4|-5jKL(EZy9YQ{{`KVPW7Y9#5Wap1t(q#pvj=X=CF! z0pg)&my^p14T_CErRHvCMl%+})_?>-@elMYTXLRNgWuCLR=%sP ze?*--b57ptSsA9}DAN;e9b0zF==M4A!yVK$E^MC9m1?1`Qd0_aENnIh52BnHPZG!^ zTdak`pvjM1@*a8_D@<%!Q#_a!9!?LW;yY*3#LaZ8 z<9C&{HdbOp$Ys*BmJMSTY*eA;0lU4xMvV}*KpJWKLX}uMNrfxQZAN+UVAqjC~iJ!8B>z z+HuJgSFT;n{Q+-mYD$jY-OeqfH=f(I-bJqBJ=Ke@L5;G=m1e3Q7!@E5cx=p@n3>`# z=`QKYdoCHj3o~{R6m?OapzP)hK{(!ocP{Y$jUy=|0m*~{n@&wYAjF7)G0f@!8B7+F zmETyjH2K~G3nLlSn**cw?S0_XmF-*V%*GJ9*EOd+h$#msyj40+$VrQZ?uAi>#g@tu z$|u)T$wQiJohEQH_-H3vCfeKf*(q*6iOiNMrXH{HOV>G0jX9qQ0;j6&y=wW=6a+3< ztI3LEN5n8C6a>-G0H89eG~eHR=VN_AmGFmk7`LgoOz9yFQA0W(-EQm?>wDPPw4*qu zaG7EJastdGFoSX0UtN9fz(Jp!4!Oz<9G zkDHRxxeI$(dYQx)&&iAZ!CV&GNS3%4B3aAq59vgZkm(DyiNLM5!!>ViTwd%TK2ZIoUu` zK1fMnjMR-xgA=3VhKqZ9{APgjM)8rAEXW@@4tPKW^FM&1gzl83s}(E0oU9c1+nBS- zfq!gh{@^h)m}Jj5wJ$ju2d5?G!TG(({p-8mlpHH|{8e)2PnIHR63Jw5@_5VgT(b6e zt+}eRmma{1s=c+i)0_F(Ap(~z zx)0b9CY!G5nv=L61q}<>RYxP~BwbE?R`L_Pa0I6{$v@v%Tq9MQTBJ7zp;M0CZhA37fkQ`E>CJ!@5Yz6)wG1FOjQ~GHWufS!PS|5 zbYsyZezpEr>%-=Nt4ABpSPFOf=ZVnLnnugQg0ph_p4S zj%=(N(sokzr)Tl#J&UoCA19hU%EVnH$yg;@R?TPQ&nI*Lp^-f_CFOP9(S~}o^*F;= z)0XIfL58c@b0Wd02}zPt9C45bO~$8nf0*`+^e0>t+q<>Y>m)2Tp%`%nTLq%hL8QVA z(-sW#WhL`vMtR;TQy;K5zE=$D@WjbGbVycJlXH%}byjli@$Qyn_E%3`EYhdW1=4t- z$v_)MHq<aJ!c2O%;ZLOB;Fw zy41<0Pc6wS7fO*&ABzOZ%4@n$^?ex<=(M2y%wD<$PcDrv{qW(53E^rc*EU&~oQnGY zc<#kh2S)oR`~^o{XBwsZDoQ-Yn{reU`lY&mrN-#9&gQHS2|;C(n@|y=-;x^}O17NY zw7j&HQQ>;!PB$q*!@_aCP z)}4NyKW{C2GLzbtGw05W9s{IDZ7%gE-2R6H8m!mfR0V`%_2>~4z@@9=O-I*>5w`(M zO5~qCMAp&xw{X04jI~>_oX(||L)xFmp=*HVTj^( z>Px*~V&{cpm!j+XJ{O3WlKhB+@TDU+IlxbJEX%$fRarAl6gY_y%IU9>!+JX*xlu_Z z>EdmtZ1$jQzL`5`-nnE+8)IoG=1LhKIl?h7j6>yqL-OPI4$NWS3*_7wyD|K9K|WPz zDta;djz&cUwc0uOC|E8m|GcH1aB)>ki$Pw6jvbLI4)dyvl3W_rxGcHuji=8_?$|TX zG&McZ{|R83Uc{n@oa}mKDi@z`+B>Hox^S3a?59r+20~>#tbAbZuihV4V8NTW6lG*T z>-K`abOEesA8nY|r>bjTt@Yf)=0({)xD6Jmiw1&!XC-sZqk})`nMC#EgD);_)lF*p zp@Rb5;<6i*mNU#CRn=!lwxNOA`|0SX4A-jl$jx`U0xuu?G~k>}sDTO9>LqebPF1k@ z8uP~eJ^2ee4j{xRs1UYio_N9(3d%4&9~H&uqFCN#yWdGHVZQU`eNkl5A_C$41i5Bj z*Q!oSldrV@rim>xoBogt9DeJ+Cho%>3$>wlVv^g|HlIzYYpXju`?zcF*WZ1_O0|gU z67Ye35we?yy-`7bCkN-2+iuWzk<LanE?1UDgmn}SJdPnS!ZWPZ3fE+k@>#f-AecG-H(EaG61DC~zNWMAZr< zYi>Vsz-J1*mom%=G%^7l4aR(qlB!(j7(40ejo(YT0XBeI*(|BbG>P~QoPrrQYKcAz z!VzfHMgYX5lU?8MUXoN_S=N|A%9HHhq(vALo<_2yX&dn?(CdCAEEgZVtm(C+!=|B-*+AYHMT`vk60Ae|Ns2mJ|LXGE1?pM?RH~5ir5EqF z0X`kWyxI8X7q0xJ=GLLT?`d3uLLuqG<8VD9I}7Q#$I11dp(ZPyg?XT+bT<+WP7v`))bvHa zqi?zBx0-W~^^+;m5YyI0{jg-{HeVnvuzW#c2o0iVlgHZwZFnSGZeTa-!`*$2=MQK) zDWUe*do)x(zDB47Lcl9)BJaj-L2&F1XC}uJL&-;;C@k7n{o4E>3={Xq#;$$kCpweL zhJ~G7Qr{saSaoVieMjSdwYzPsJ_eb3{CyrKbtQIh(AZ$b58FOZSt=`1hm*;F?Dz@O zlD$97uSlxzIVWBhAz6lEG7pWBE+d)uWYbi(jig@-(p~C~em%7xNnZRfxl>do6i|ar zv+4%be(^aHMADaq6N<3_i6*n)Fyq$}i%!i*2gHVAs-##cuxZ>;eHHEl6DyjU2;7Jx z=EMJ`du6v!V~?cRQ&>-Ic$<8(sGk;8oDUOyf7rjaWNGLCHUjZ5NwlGQa&?b<*fg}L z;`iifriGZ`Ue0qNX7yO<0&19Z zASjK_I2e=dQWsTU1Y7X+22C(D9d&OCRlVAzB=9LPy)wz>x1b%abktt$WW3 z6p_C8oi`9?pdLLUzU!`1w<+5sQdvu;y>#wgr|wIS0uO(`1HiUUYS>oM75AI;7PHCk zERY41F!|aCvh&V%q$u~>#fBp}#fPTrlinF9n5Vf4>LSu=cg}5=Qd}ltrBZVEi0QvH z2wT-=X?R)-Zy`fxebMzQ&fAHX*#=z%m=+eR2`uQp`)pM^SMLI(Be38t@uhZt+Lv`T zgN%RatUfaBjor|`EeHAs7+rj~A?fhu5{*vZemJ^^MA3tL3UzR-I>Z3mJC{q?O6rCE zjV=i64v)8@eJ_4{u5?Yu#I26+Uil1HZcZJ%Ur+1g+|Q#Ic=}z-B3QOCDa~m(dEYU^ zQFy=Ga8G2aop-d%6FXM588m$pywxsm}w%PE;`|GvmRRa8??ug%kLeX zJ{w(KN>{sOt3e8{iXL96@ldu|E^Tnn|Nd>mN&Y>0g*1fuU8PBFfLKU~Y~zcCkf;4p2cfAS64Uz@JzMbh7qeCemol(1_@2K+?przTqcprR@9oC z@7uvPm=sfeK+fWAW3l@=b`RKghgT?Pty;6@$7Q3OJ#zEx%!mq6p4?d=K6I101?5ZF zF5|a>Flbw1d+;E9Ns(5CK?tO!?(uTjSw`D=5@dy&6!Z56!}ANe33z4j6o|Q8M)i1k zPFa9(?-?dA&T;D$vdz+`u2{`NLs=Y^Gv1}VLS*+~#yZ}DZZjiji~SR7P+Zc<352RtI3s|-NjI=wgi1M#h^PH_oT{p| zdK}AJ$v3Ui$7`e*S=f24_Q&Qz0gN)_Ci_2$f_Ax=eua1ElbUw&gaAbzTm{O1RWeQS z5DCp~N%S`hh4W1vj#brgn{^Zv9JhG#5{lG|d{K!IJIRw#`C4Iy!?azhYk{4L$>2)} zq`_C*`}^qQEAq(Lk_R%G&hw+V0Cq6CIdcy_wuq;&ttrlb^;3V?NVY8BZNt8Zf89%SGjoO_2*r4ytS!WmvK6d^f&`@Er)@eu*U`L zZBiKV23SLX7#fs)=(glA!4KJhf=N-$-8%=nsJgzoKEs#6B6Gefc-Bh* zWWxXQgE%Tkk4ZEc&xk1JZx1`%u_8Rzh&Ll{!7omi{|sD-sSlQ)RMO#wInUt3&d6Ta zr6QH0r<3XS#&`xRq~5e@mZSsG<Mkm z#I~uNpeyK<*D+b$s}4y3uji_0ZwUua74L;HIu#O}byxE+xDicazLPX^i-S-cM+JH6 zyiZfRRhBQ;9F6$k=M%hd@Zts=BTpK)w>%q5N5(NC;uDOpd^5273~VweC6aGb_78?~ zmEObD)d>3cejU~xl-1{XDmnPnvbl3z)rYCeK4RR6mZJaY$c^qQACz$_nzNiPT!1_U ze{L;M_bAOEr3}_!HNd;&4U$ryp+Fff(R6%P1gnW%a;I6eL_a*0Kdtz;UNE*Ox#sDv z0vigrW^93V%Iem3@e-~0qUwWXa{RcS4EFSZ&;VNI2-{R~bLi2V9SyHU1kimmmp{EO zGe!+)UiiON--s%EJC%ngv(J%=QfU-1UtIah^CX`P7#0spZzc-s8!<*Y=7>|#S1Q07 z_9$Hy(|me`&zxB&>swWLdePpVJ~?>z^|%v-lIlmmw01dHCu9MeY;1Z#U){ zSLZsTHqP4^ZyXEl{uP0+BM`5pr%LZPxv`*n!It5yMZTHsxy!gX{Pzy97>*vzJ=y~> zk*l40wvJl5!*#((VAO%{sI^87wyDbDj={+wFb57shepVmyO4mr#KWz8R5nB@b{?IdukhXCf$fO zL~BDZwl^uE4sU)Gxlr7C7B@19D*?d zFk*igw_0Qt(46@dMmeJg?B%2_G;JX%6Ji!7JAQ8ly%BTyr8s9 zUo!e}y-d5Q{PEz7`l{BX2)?fWezRdl)R5e{6XlSldmD2sOgLF&gnY@7xiKSC2g#H- zlwwr|saKwY0(a@ih$tR}HimMmqu2d@y?msXE^!u%Zc4CTuz5U6UEOYtcpF9>MfdI0 zc*)VH(DTGw@rY5V@~soRs;c}rW@(CnRm5#nF(cJK(7yl85nzA;)07}){&ed93$78n zgaD1l9bJT-?a#n8gDr`QTEeo3E&%+i=Cs_@Yyr$&jAt4bn>ElI~$F3dW z#qmcfj{Qe%{UyArnsoOaK({HN+cTWjkb4yG{&X;(TO#3v$%_{}QA)83)ja*XD?T?A zU;wd^Qyy`XRtuGN=wDWrtJ>ct4a?PzFW$vU#R(NThRY!>A?X52X140BwY@H4erg>B zQb7bZT*fgG_LDlE9Afi0}M=!`pfk=q%oX&x;TMRD9o)(-g|efGohZNFJNT3e6D z4FKA)!GYWu+r5V6Tqg2CXtmIdLMV6V2v9MW2VS+nNpI78nku`E1E= z3IcI!U4kIyN3!ZyHOT7__u5$d^A)g7ZH3V+3=pi-= zfSbPPI*;c{X{m6?$F8`4B~-_1Q@{O*xD~D4o$HNmmyfoRBp7mqP-S_}OEYX$zAQmN z*7}FC+x%E4CQKv-&(61pMuorgoXj?2%>8CN#?O%JM!TejhV$?#xu+hgR8$oP0x5z; zg_fZ~AAK5G@?v9tZgSo6?rdIMOBb73q$%LN{NAH4?~L>(xgcyZ7Hv?wEXcUF-j07Wkw*y1D6qtcNa&0Ud+}myM&ro9sG+1F9j1PBw@l&9(<72l-3S-IqEc8 zaU_|yf2z?S`_vzs)qj~$GU`-snlkt5~dfa z$qVEr8n) zwyK{Wee$JUyru;*|B5jV=M`BlJjY^xpS_C+(I2qdO~NkIkl zj5EaENh>A54o}0Qnn7+S*o1B>H>)$Bj=)M;)O9Qa##R6KvIU)kYE;geTikmJt&t|gr(uAWUH$R#2c_O3YralF;ZNadpb%g->2M@KlEXh2@oOo)k?g8X(~iMnuk^|uC0 zRdQ{gr^bE#jOOIOJ~mJkfm~CmUY7Xc`X)Cau1OA`&FnE#wd&g4m5;7#W5j5vK3RRzv=+H%@!w`^lT~Fv zUNF+x9!_3(mqeA8eY2exglgfmGQvUr9RE^~2ZIIV(F)~iP?^?b*N}4&)SW4vUQ{Ii zDY;=0((N9`hv-Ks3=JyIqv@!%eko=fMZ!2M+d!qm*m-BjPN#or?I?sh9(tJ_Vom}1 znp{0JT)cAp=sTA3X?G=~bDMv1Qd*bndGDYX*L7?f?L<#Srt?0l%Hr{EaUK)|ZK;92 zje$W-H+WYNiI|Q}qzB9IER!`W)+*P&M@=8E{o`>dFn^0uC)F?B#RYlX`;im0A>;;PK3?9S%kd+vjMbj(tq-=%f;?W|mP}QJ7a~ zwckNC$*A*KP{yNrP}Lv2x5m^Bg+Ow?s(Y&P(GC`&(9xIwGe{VgNIk`2=&uN4187e1 zU%L9xu)1B_^}+t^7?=!%W*MZ7tbe*uB_cVa8}#efzW&brMDuFxGrvCBk~=&!Ohbys zql3BXt9NShp#@PgG)RQ~zEy3YC#SBDH+$%HD$Kj(!@H^=8LQY0N%Db)MG_(rkMi_9 zY-M{?>lj85K@otc-#LSH6Bxfj#{W<&oxITDu~=Feb`x?P*ZujxN%&~rs{=%-y+&2- zuyb^2Sv8~vUuA)QgZIjh50t>=M2h9x%k^f$Mz6rj$3HGkrIG&+e0Z4J-9S3_mt zA3H$VbY%$ANeZ!05sOlMb4#|*lPIi$)Z zB>I#(VMYi8Lg9vttv*q$1tZRZRsdY1lw-fbw|hSHd($9?0itTGi^N6{Rx$^N#V@ae zeBoRYOn7{`VmQ_M$y^wG=(%OHlK*^d!<qd&H4e9YO$duBTO8WV4a8IGwgP#goKX{l?z`Yn!{iff(bY9>B zk4A!VliYCSoCQhcpWBM*r6zLK`~o;5Sux@PkyYT6MBDKoFhAF46^pUZ@k{Lv+V-uS zYTx&R&owL@^=`#@hDuM?YU{=H)f5Awd+T4loUig1%Q!ajjfK%0uq2z}hKDb;DDs^X ziN?hLnIUURLM@*|iJY7Xnz&`yL-3@EBsd{~=NJ1Di1T*iI~vCI_Gu&FQ>bFqhv|G^=pqWQ4O zlRJj+TbhzP?q?!?F*Z5yMDCWUzx_jRq^~{+B~SR7sTpHYFuv@UI@p?qRN)c$SAHrZ z#xK-b^wb~+lyyIZB-R**C1e6seZIE)_Zi-OcipkgoG78lNQR(E{+jP=tbaLRx;yu% zZvaE^CAO^h1hCZ;y{3%UkU&Z&FUSN%O@B|rm7(Qz@Nn~T?s`QG$f34{3nG+H&140~ z6GqKpe?H-Q#?ECV3C1SGfulJ~aLB-3zi4hTAXP{xWq#||XzQ6K23Ftxt0t|;>xJu} z$4wr1vg1h+dT*3v+gtw}P+ob0TWRvCy6Cx*csTD4cJyd&Woj6O;OY-XXI$M%oJl3^H zu7Z6(60@%l6_bx2K7~!qU+<02vkhVYeH+`x>jA^|5&Ryj-T(SMAyO29nTiboz|4E- zxz-$0gSW@SDmQ1&oE~_%e6zz;4_vYGiabNAI`ECf>6}(lY}7w}OVr&!@47Wn|A%`O_B3m zd~IxoC?i2(QDt>!x$HKlxR8@KKfE1zB8gLGZuJK{H)M3+xdE283efxL0HDA_MiSxI zdB@}ei9&KpSZI>gv*U9O>+(32njRUC6^)J@g#cFEugY13h-%1RU@|b+1J6Pbl4f$>ei*BvXKw0|WM>BoRe7#W^Ib*mRxsr;&Z|B-6hJymX5GArwsI~gOg?DXb-JOYPi|K|-zPg+ zckZI5eeeGub8o$9dGo7ZJ$_V#9LG+XVg~ZXbeXO4s~vX3xWq3#4kQV7^5PTAucVn& zSNsEp%ZL1k`LUzBe*Ux=`N}ijYxyoJWuF z+xUc-AbA*(Nwbew;&e&=HF~*UCr#p|4bc*-EXFgT5^jKj5tHFGm2x(*DgyvQFEOU! z`A0fOjgrxg*|~XAFyT%8s3C7wex`r>``RK&SX~vhD6O43vu)YwdY`MlEjjSUMY9qc zZdxTZ`-awm!s)Rcw`wGfppH@`(~(nEg0ZkI%O@430v`>xhMf`gT{bE_C2b>E8Shs> z2aXJhMV90;!i&1Jj7$l;v@-54o}Q60>F1!IibkcKa?=Xa{!&*jJyFOgM`O~uV`xF$K!JZpYZUM^w;3yOA#B_u3ECuiElNkfwqiV=U3Ea~+ya>7GT zIV%kgwgXATAdVd!LpbJ_wAxQmf!2q_Tn+LkU51ZxY4YPw1g#&+4V&a`Pj~gsla>cb zKm_c3q)cLOXliFtV<{7%Gz0YtHWd`-e+9umqQc~sk1fh3Kc2N|L9_L#WH8Z5{^4?0 z;s!Faq(aLez^|+!^)Z3fJ})y_kQ_*y(jhz_QKPB(}g`d=4VDMx4L_9;N z+!}a-s7GSfpCoSzXD0_vZJRUOoUmpzWYav?A8|p76EFNoh?c+9fcS^xL(C*yLU6%9 zNt(3M-sA)CT@+2dzQ1i5a<+WN-=VcIX}!8oWOI-HL>rQ8HLbIc#O!U%sLpKFkgbul zt95y&21`HIIjfslG~MBbw^SFD=j<-lSuBM-k?d$In61h>?bg3EJ8RlnyCeZ+Wy+=M zjg6U0N!gK1S24f{V`(Q41uSnti+l`_ovOUx^v0v>uygNT_KO|K=`8%U8(&iCAvh^^ zn!&h_GrjzY3$49R=yl&s?XG4za2qarfkw>T%FmsDMlh9Vd>-UL2|(`n25Y|Em#BJI76WyGH>?b? z9{ZQx+&ZT!%;v0B>bF($C*;VSCrx#bW9-b7r(3eh28uiC#m0DRl&NwYYl{xmukmUJ zXGFG!`o{?x#}fX{bHt_N&q@M$E%7q}?`9Yx4IQE-Jmgo*%Z@H|9hbvg_i(eeTtu-v z8JIpxE_!5T#E4_jDJN z+QLQI7TJE#k3g198zG7THtUXjo#VQi-6`%p8KCyV$A2$#lN1O&;Ppc+O5XGFATJ7x z8j{|Fx?>ad%U2HLYo3=2<29v<^&yuV(wu#>&B%ObB&S_!|4pM_sxAH5Nm$+SI;2GG z^%LuiI+(hOf=K*t<)9Q~q6X@S%-|@O9b^|HomD${Lf8mH8L!2S<3l)kTboTK1|5u4 z@u@_;lk zLzk0-x9WMbD$mu9?>Z@qMWcFat|fWXz35{^OV}w>hgSivldImrHGC8s(=?|1Bk6HQ zS0OZd+%WBkqWxc9<{uSzu<_amaMdRwu z0yT`i(K(a#p5#YW4L?KjMx175M^+%?yfDw#NO?$~QgY~Jmhrl!2I~V$@KBi4t~#wh zQ($m@?Zom0T~-YDFz(88M)ju&`i9dL)E3r8@=B5vsUEZM#hl($qg#g(YrS42}UYz_A@ZhmNCw|2?FzYJx5_w4n{IyYH4k{ZQLLzaHa z^;rorFF)kGiN?zFHU@Br)A#h`#)j~xAO={*2anf&cCzg*xSXxC1Vd6sypzYMmq@|w z&=QRBFeth3RYj?>fW+z`D2Hg-g2JMdAobV& zA=(Q#h{FcS)2)O6mpo4x=fpw4pLMve_i`iF;nk{nb&-unQSE|^N=+7L#bzVP!&5SN zYi90ZITB-uQ$}GQAZ(z0*Q9-O7Y7UR^L0G6Ww>p%-%@goNNW}(bNA+Z{ba=>>14;Z zm(N{G_0#HB69gI^Ol_0IpaK)_yk_S$IXx=rEGuJT;>u`>RW|p+2A>sh)&Ac$a?HFh z6u+?zO>kUKpOOijfddTj!nDKkAPR(fX%39QkVv(^c&x^~NBvkzXw)$#{K`oQS@w{u z>}V^_HcHvU9o6;MI$XHqwCrhpN%gObVRG3WvpP6ymuihtSKWH!t*?_kHj=!FG1)I% zT+5ebbG7hHt3^5{=o3V0Bu=MBL!TNoMsulpYpj@h>6_8A_1-&9Yf8Skw}0u>vF*!9 z5+iFJ*}e6n%NB75Z(vQb^1i5hL{EPHTaT!AJu-JodsZ8Qh&+dt8&t#9@{e?GlAY~4 zH||ioqw2xS8Zsnjp+r~i!|}s6f9YE?dLBDv@3S4-Et^r?XZB~a{cR@nZYEW0Yw6lq zyRB2f5!E%U;S*7a9l5xu`9@Kf93W)_^;r7m&8O~REHk$N@&sn>tc<8xb0>Ubk86ZU z7KJ46fqh$^SbCNg6W{C4U{37Hm>HQda-UMwJ67CxwOhqimDv!hIyBq-ekn_$dH2M) zs@(C={tXjsw8E>B#mut2KqTC*dZx^#a_Qzp71oSZbo}MomGd!7caL<4Ay(dri|E@7?3n!*)@A>L=lGJpHd%5FmLh#uGLzCmw z_V2I%{`%nWhC!&I<;$a{ZsC3LEpSo9{Fl zOy6EYldKm4wL5Vrw~SvRUOd@k%i9;7A!oCr?r=!4-QYsB(^<9myuIf|lSbWk_7Tn< zw)wTg3%svjFQ=s5a$VaXI^?F2n@OKD6B;GY7PGS`2&-a_NBdsOTROL^_8&ihH>o6d zzNnVDRHTYq<$9Xm2vS^>y!_uiOITRUGG6;iB^66vCAZJ~<($|>Dl4Og?Dy2lh(#K? zoE&C&6VM2~C9_uDJ~D$2TaEhT51#z`*;veMQL##WUEQo~+EtQRdRg_F6;|$EXaDI) zK=_a$b}i~7Ml_tRr$hyDIrr;@*r^vlkQVcMCK^YYQGFugSR=4Qgf_{*GaE1J2-tRj zLqEqQJn07JthF*(>)AXLc&g?@eeSFy$bnz_fQ}KKvBXfQ9T7FRb zv|G!@>}S**BNfh*)#{#yYk#Pvy@T)7ujq5c{9@L^0LcMdpfPUVsa?=!<&bt*_uPXx z%HZPi*ZD-Jrs4y2Gx&9KbWB)#{nycklg=RW^U!{Qi$AAc*{6P9S76$YH9LjVy?)V*ermU9h2n~Ezx)b zsV(f75d~9#-DJ!2dag!$`?rl;!j-H%wrH-u{IuKoYQbqO?~9)isuyIL8gbu|nM=D1 zeO@ec-H12qv1xk}zgK5zU+j*-xzTCjY%;p5v*0y>P4zDA{k-V>R^?WKd&K`%Ce|8dk&j0dFr+?I= z&PB=AYi2DGfnVcx8ld5ykknu55^Lp5-$F~72!e^E?GDt=$e5>6h~E?mR8$krDK>T3 zAZo4@RPETDD2&|KUw--ilK`~y3fmdHQ^J8|=AU-`)2<112v!&?@jwIQWd?y#U(1q$ z{fgsOVoCW^Y;xiUGe>QgA`dBe^<&Sv9A#vU3a3w*{y3^-n$BMygf6+EcyY%<8N`WJ zIB8T()h3((B~xXp*YEwlrX~>(9&zvn+8ks4@EAkPE@=WYY4TP|kC7|`f2i82m5!T_ zAANY2Ku>Px813rqp1S_Z`E-dN`5=597;ovkP>%Iw4VMXm*hE~Q8}gQ<(?4tDF{Yy% zvw)C|qyP|CK({U2kR2_ITgP{O;bec?dBvvz5rX`N>uxwsHZGomsGZh+SXw#JaneST zS>&f0JK!@$5;ep&7S6AEycs%}DbO}dMNKg$slt>CM!a(jCO^2kw?RN>`+@)s zjgpDJi9Si76$TOcDil^AyS;F@NH!|Ek3c}3lagfQ`!sWKbPd!7W1K{z(u||e>2@cc>UuC z?XZe=H@0W*iInMM_lN}MMmLjEmcdFERK_w`pF$A#Gpg*WDy;qC2e+iH++$EU zpfh_qvK6jwmN3e3UxpQ@IlHrZ_|T)7E-GwU%&Dd!ZEyCY-CZHEX)zmUpM*p0d$cYg zXguc**gYW58|os(xv)#h8WIr`b`08R5AFH?z@hjpi@l9TCO6E^j!M}syowK;)Q=ii#uSG= z-w!q7W%%;d^;^kEmPkp%@|o0>J=%j37(2l(f^YSp&joQbw8k4rN71l885I{@sWE1i;Mb4NB|H4@Q$#Iv2Z33l6?ZE*JmPQ04N=uy3nY#@ud8RBy^=Fl z&b)B+_|YrnyO!=z0X+U|Vyoc`$@FCl5dp3Y=K33xF0Ka^-1EH*;o1k^}L;G{w2qIdUtQSPI=kd-?R%*7Jmwf2tzW+Tl_69 zOBEIBgNH!{hP$$`cGw&8V`IQ7(cS;&|Xz)8;~t7MAam@Ivbp1U9{ zA*g0zTu&9#6OZ#FM07pfc?Krp`ys{Ss1-oQ6>MEbxOTA_Hg{0co$ z@VTO^^HR=J<~_^}(scFs37g@K6Fgy(V~;FqPfow36w8`vi(}Gr{CMrCg!rmR=Q~m~ zx##+Pssm*;&KftqUVW>Mg`Ks z;IFYhe#g5IvX3t^YM*S;^JNY=_32OM*|360b8)itSYNMz%jU!lwKZ%sB#M95if5SS ztg?<^g2-f)uAcG889ig<1!BX!JSGY%SV?|mq%}{RQL8xa^#~j0eH6^3&Mj z7;#A|Jl|##B=M81HlCwAv*Z*j&Z3_xok#Ojd90=Hq~LO4@}b`a9!bvLC_xzsO?{2X zvjKA;fn%g&&{8e2<&Yph$Sutna2*I~z+wUqdH0;@$?j`?q`39=32|lYh;B-at;f@y zQJYb}(R-+dV)qTA}S$A`r6tX{j?QTS*r0 zK?tIpl%yd!eFfbJs6EUkFZ`@OPRvN#nyJZK7NVxWd|#U&m05PJHST(~xJv3rzi_Bo zTFZb5oNyPw*uGJ&&S8%+z4gW&?)E@^?}olUPgIw=V^s)&Z<@wed0eBvmF?* zBUCn%#(uY)+1(cfz{F0n*mlF$4ocGR<@|ZecdzYgp`r=wLyN<$u>ZmLwFYX8MZ}y@ z@e4Okk9ajjF+r!C1|x>JO`htQwUo^Z$-y@)>+E!aVNoobuXh$@=ZJmhC0Ws&-gC|b zTXX43;?-_k%QAGv>M$cQq60fE6W$$~o(?^F13iw?!Cv%=c9o9X8osnjN`KjXE;qzP z3kFES-50ix5S9mjWzvr3_&#nE`%B%k&N^8*WO!|2jgd8Hux2V&45cE~$!c}r2Ic_P zf9tesk`h5jc0o4gaW>qG5KEK8>&_}wq|WT6H+i(1R%xCAQKUWHNHI5gk}5N|3lW>z{ypWhyUl^xifor_9b^Vn`H8`n=`Ys z$>a?tA-pH#>@tA`K{juIw!(xLtA3~w^;ZIEXN)!@&%wT(If zv?;Y3?9Y~>#zhb<#geFyR;~GepL1se{(sNz+F~43 z5_9CEUh-WJc@diy-0;bXb+01Wm6Z}!Ptzn{_*e@%dX}`2HdO=Il!>>mOP#Sa66XZ0 zdl@w_cD}U5Qa@hx2&31Gi2{I}P49}SXdrTp22&4`CRu>)xDIfQ+bcOc8oasV>pG#W8>k>e*4Dmt%Jm?TsXJ|RLsIqbS~I`*Dh zxTKA|5K`b#Hd?Ml1l+nf8Ah?nN6&YvA#KH(QQYamO#ct>R`4e z$ymz4P%$@Sr7`mjBv1Uhe=PiX$LW4~ZW!c)5os_9G)uyz=yT=QpTB3t{P_tJW+beD z9ZKfJHFxb#0dbxQ8Ml%&gau_vKl94 za>mMwk@8(G8)B*UHQ62pbAiayJZ+W(@Vq%p#o3zvD`b!-BpM4P5g4jLrR6=q5X8$; zF?qRTp+*QSQ*6!$@jeCCA9on4IvO;O44l3^MmnBo7Qhd;wjb<4H|_nYmiVt`m&5pv z^=YjO%qe0f$%^)KpbodWJ0DugGF8E%W^S(2W5OTY1Kx{^BqssO#dS|66w%jI95{d zRP^i?RmamS7DP2iAcefS zp!CPj8TII1cfdh~d8=yn-3)x9Jk$CvEjOd8#0OTDm#%RIkV>o_CnpuBhM8ti=b*{% zI-IgN52->lJbv@V$>mjX{U5^2x%Nb5TqO(@N+Vn>3uzh>JOQix-mFad)c)+k1w>SH z_CqeK!dHnr?Eoy*HwF!>^8=qa5e~K*HuK3WLw#6zRo@BKB;2#=o@zbtL`N{Zx6ORI zsE5?JdZpu)j$m9ecxA+))LHi8;GH1*U$_6)?bTwrJ~sF5+8<^o+X*#1!XP;3#E_9P zA5h`JGie?M`5I(>i^3~c~-5n{s3u~5UM#c z$NQc_|J5lT>XP`_mX5CT)wA!OCE}*P#4F9O&M&DpGERvIt?v7>OOM&H_3vDyx(21{ z3smEMyEA=T4fFiL2X0c%5B z-ojG2ifUXtD7_1JiL3Z{uGj5vYIEAeQ#2Y`m!oHyKC63?RkF^$Q>xPp5Ha$y>*%&o znsoua!wd*3rJHqAP_W|AGzVN~?gTWjQglD!WQ5l&{8#G-;>t*RZCtrEb&2DwV9TF* zpmHw4qO-#APo7H&4a&z{i{>h$CL(4dbbepOTJ-1220#2n+cOp4Qaj_-qow7Ww9rzz z2>AzsXsmMNJ=B1#5x%OMoj!kk)L~b|)n;x}TDAF!Uosm!y%z#0iv~vN{8$i`x!XDM zL!T&an5#uix#}L1cmczYje_y&%xR^wO*QE(7)nI&Md}u3z_=%=0HoXa<}Sg^$-%IR zFF$WlMWnC{fUr-!vDw^qih1IWjwCpU(La+{9s1PC1iFl5UrlTxR$xfEePLi%cV?CL z8IucJWOXCVh?R>%K3d^UdA+M?;ibpL77`on4Gr_9y`p2+z<#S1CqX}(ye&u%e@6FH z!A?~U`4AG`8y~-NcJYk=N2oO*K?Tim_Y~3y>VT;vuek7BTTxa=6SI0)_&TeqZgq6d z>?SXiP(u?0&d~b_av1oNvAy^*=Riq$iM0-C5hThupB9I!6IM}{ z4j`^jV_c`TxH!-}85%};S$OB={&II`WB(Xpte$x6 zn&!2YPhHnB?>smNnO0=TI|(+Z3}%4JD$=V&mxQ{6v4RMPs@^~aeuippirHV+zYypC zBDG|T$y4!-DjW!X{ed5S;75nG>@~qlF7o)*h9fJFAu#SvLmPP5BWqCs| zf5KZ;(?aeAjsx z$b(!D&Cc=?wEh7=50VAPmIWkHGr`0{B13`ktbW1v2h`v#S!=`=2TCmbQ;?_H643$oI9 zdtug@Q>=+@^{%31By|lEB|z66o2!lT=;YI_H3|xx2BGt>dqtpU{U*`ntl2- zF&IO_@xCu-`q%Hr8Sga`)KC?lLM(vfH7{ZHa%n#$o2Y7m!rK};qCC{aEndA=MsALD zbuAFj@)ID8@~5^>cYWQHy*A^{QXV4SRb$~3V3f=C!`uhqn20>I(Is(*($m|X-}#T@gv=Ub$$)e6B>}h z2Bd}dvvWSL2B1H?4lFd77=q@_JP1;JLRBi2gve1!1)@utK`|a?IT2;`GOz@5W%I>i z>9brIMZUFCc4}nt>|5P=gduv?@N!#}fWRObmCS}$^Fj(N$XacX#rSSa!8T+&0P%eACl&8A2p@K+Vlrf{ARayp{z|_yLq*SS?8x)R`>)=-H}7L zCq`9A!PBwRSoK-$&xwy+-?H2i! zi*d}?dkP2V%%^doyh^3&BRiYI8vzX0gRg>UsN?IIm#AwC^)9BAY+`86gSmMrST zQPd$bQi&lc88zOF2pcQbhOIg~`uoO_0WrwgyK)~@s=DuYQCOD<`& z-#`E55_eE9zlw9?^Db|>Z=`%dTtCwBy*RpO)I0aNLi8p2GaqnlUq^?esth?ukPMI zgZ2P((!Ono9j$+Hj})ydG>K|ZeY02d(O}o*qe>NC{c39HLf0QfcKtTxX;-iDzzEaW zds|wWQ5W6s+aE;XS$WA(eY}C-Z1hltsVB$tP3V5~sbYdsD`jqh%o~0n}p$ zFV@(qS*(~&SavQwx3F*P7{Ts+`^U{893DI4u`|MPr9%`EbX%2Hr)%8^)Dyd2&C%(q zfzTVNy|BJ(XhbqxgXKXj@%V*T_7POTTHiAH&xLYXt;oUJ;^>7SgW!gFMrpONMg`Fq z6kW!l%)(4vaxFkvxz(GJ7~lazZ5YqyY(%}&b8SmIWHV%-YXSF0mHa&eKb|`;Y7D>N zbs0KYj_hTU-ib~6@uovdDskh6`A^*Y^M^Q%UXE+0^(=_1FZj#i`uRc2A9Q;M^ZnnE=rEPH5(SMdgs)<+Y z711*I-ZKRwsrHhML%U|RY>tnArC359=lCb_iC2E{tC}!D(PDl)W0pz`g%S-A^E0>(tkXQm=-SA4sBJDPWi7!CHN(k)->dM}RA(~*@ z)=750i)+S+)&e#*O?;hW)=z(QpfpvBifFT&p6)oas%h_B`3ofrt`?aJAdu^~mTK1S*3fp4lc>D3^XS% zslAHfjZ!Q`S1@@+$zd9=q^kUABvjZGO_ed4V_!98_)|=gB}FS2qLQY9_uK~H%|w@8c=&g&Pum$=kPFvn{7 zzV~#`-SeC8F1_y$X+7`wpKLb1c7L@cHd}&4xmN4qN}^DM90fZ0{dp-=7RH-0y=?bD zA3t*(LxtUO9{bfrH)@+HI`3h(4&pN`u5|ir> zdFfLuoKl-H8`t{90}-OTc}J>@940)=xR@9(n}ucZ&@3NDeGC9qr&*E-6)2&RHNHD| z6Q6PP^scF!FAF~7u9`Z_gh!DTN^A`R(%_Fh>+mgVhp@y}4!V&74-W_R;TtO!v<|_s zRmDXho?Kt<-z+kuai48e%Vp3PfJ%)&c*Qe;)i7>?;qh;?{+&ShgPUh*+P`j^K{Ghe z{1(nYCybk$J7#6kbKg@aA2yH83QqUiA3lZKuEH z^r#*Cr$uL7IIhOf>*}#5g3b;i2kM>!tuPsk-G2)o$UK_9y~gmn zPjPT1tmQyXC|zRFZ7eykv?qW%pn@2fi`qn{$I|kDta4o!R}4}<$`!CNL1Smld6l#P zPum0NP}QQC?|cfz`1qH5zVf^1B&2Ey;Muqje1Mn((`tM!U3w=jaMq<8bXX7%aNC>8M;N*Ks*W^}&OGr}qI^Trq zKfiJz?Hu!Czgv!IX2<)2(v}jG?7el&3>dZ|o}o?-A=>GFaqq?EULtr%RazAXXkMMH zI}E}3)%E+$2nOj70veya^0_`f955~jTNjU9Xi?Ffb|Td8}M~r zFp&hqBJACHw^WHHXcg4##nm{M(xqHok!)iZQ$M-Gs+2{6#Z%twypfDh8;ztoy*{W| zFMaNd(EwNI>R-NzvFrIn6u^S$u3sH_l5N#;eQ9Qu(Ssu`l{{q(^%L@=*F$kh=yo+W z442uS3e@=X51@TClywInHD3hAecL#{m45rdU#>-tU3Lz4c!4o*y)}RLuxULjdqj^~ z{pc@xGiTuF_xtL#|NA6Zs+#z<9vyhg=T=Hu6)}VQp~QxXQr25Rp;M8T>x2EM_irQbmhpJNGxh6xCE&<#4!= zqzA>l_9&e*yPUY}$Q*Eib#Re$(5$TDGc|`M1Z&4G1Q9lpg4A+L!^jgctwJC$lC&*Y zzI}|W#EAs&&^3O4o5wf-odHCJyZz;7K-Z!)y4~V6BnJ64BeE8@E~H1oJIJd6`MMB| zIb0^>#?~&2cj~?&Ks|*YHmdJ?;Pmb;G6Y{B?K@AjxHi~iS(=d>XQv)~qHEf_uuhyJ zxuC9%Z}tl1X0oaXtKk?o1gpeu1Gnm_9j-?xHU_q3&sONm3apPA|nuqVU&5;bJfnB;mh|v(>ry@fC~vE{JSN6H53ufi6k| z4^0rCF0C$Q`BM@}ZC-RXK95q!eJ?RTT^`l?ldFc@S6t^r89+y+%5 zsR$h%B=1s^C!@T5Vw)R?GR~EGPeX?G^ak6dv|AUKmThq~Ff9RZt9d}uiy+=W=$ejW zVVx})89ua%JAbv{4AB7Cx+j0<>9CmTeqT#g-UM3e9Sq70YAVnZ_XYhWmPgxglfu!~ zH|%hn!1h<4)qrrn5-cp z$Bttl8%dcPXOJ{%^2Kh#gdz+pa+%Rq^|1P9?9EI`AxU=2YPvz6AOZH%ei3>i>(t|s z6)TA>iNs0=B9QQKd`Mjg;-h{~DK1{)&xVJZMmPUjSV!?DL8V3@dzU5z1i>Ir3wea( z0Hq->9X8xakPQmalz>0144%wrLQ7{SF8B)K|*ErN}2 zq`G6XwKp5LKU4|hW9y3d$Mx!Y%)f3;p+f8}v**Ug-r0A%s0z89dq4r%fh;T; zO-i^5iT_c^SO&*b#T38DNcV6&h*XxS&++HAxqa%)mJ5BK1%*N@2YfbIo0 zxUvCf_6B&~ifND5&~Sty1r3sN|B&+G@I*}7lhaDZseHR3wMOH5`T|kp9KWHzXoj^r z6D5P{(#mm}6(UXbq%Z{QL1~uhWGVn1e9wosYp9_6SSD4~%Ix!TZ_15TKwEL=9N%_w zP#YMx(M77Y*sKmFQg+Tjpt31#KSq}q4(L}NZ!r>{#C!yU%opE86)xXaIJ&wd8B0Wz zF8X;+NsPEApylNUN!n+g6oElh{v5>{P*=&9fN{@IZAz^(q;iUo1CM&WmiYw~FK(by z#{u~rQ46<6;x7GD5x69!-*ixr!I8SKvUWEdtK?mDIZ7i7;^7qrk?5=b2ib?S{Hi(f zIA^0#6SaHROAGThy_nlXsT@t!!4eYsHmE###*=+IG)|g_n{a))5UE$Z;ks{)YQOfk z*A8_xc2y^G8)!AaKvgp7A|diu7{HEED^w&HmVX|}L?$;=RoDn~VE zt-HwoRI~WIPD&UfJfCLa51y}!`NYC*8x6H;SiOvHw<`ZaZeXOT)$R?2OtKQrZg7$3 zp;gO?^Ex*YS1k<}R%Ha-7i73E>QC3J$|ns;dyq+>eVmLU#|yd#O2Yirf~b@GBCFCS z1X6PxhqkQ&-{F^kRe=jwnK-1CZs(GudpXj2OYad%LaU1wWeS(z8d`hH(L)jAMa}u8 zYh~}vx>j4HQHeXMZxG~dVy1uwnn%J8PsfMeS?*uMxx@V{2?Ak_aObK+10(7Vd*+b zZTeIYe`;UH($6vnKX1{pc={Lb=-lW9+7n(6z}v85s1Y6tlLZyti1B2=lEvC6fb*ys z?c6TZcj=47y;j$9=T_m$+Z8*0#3#XJu*S)jtwfSaBgcc=V?InO4KqxeY7HE>CzV2r zU-_sQ87gQ`Nt2@8)tJyc9+l$gXeMZD7Asz(_{b9r`pxakq&-@W?UeALx;f#Cw3wo& z?C;-JWT<>9MF-y{ul>Z6-9vY{@1J zV!~0_Z~{-X9iwtEh#lbLRx-iiRjO3%Wi}$%dUFt zqs=`;iWe;}{CX)Dg=8iHBOf{UZ76Ac7A>lZZ#!{tF_ul`G7Ayd5d}OWB~Hcf8mP_i zjLb{Em6}5Z2g8~~5RAt=ruvs;K`lumoZ9zN$G^cJ9&F2QB!N>!l(cngBy8eUsd~aT zDiP4*mFc~Z)kK@z(5;*fFkcRnF22H3o5CR+ZK+ZcuXtmKfJ|m41)1Cd@1%yLx4Za8 zXPlJP;zY_b5U(z^yQ?X=sFZF$t0tO`QT*}m_Rj5fe2eppv_#&PMsodM4IU=N85Pt& zT5qz;jkt~S_&tzGZ8dOKnruNZbyxgMYw6dgB!F8sJ}g=&;$hYKjKXOSI5>f;0!U4An`x zf&yV}A_2r!99W$a``BBF9;xYXFC zLvZe0WjAn(H|A|&=Gl}cR5Ku!nx7n^nXggwz7BmIs1O>1Aukmxw*!f09yEMiraELgiN)w68b7^AY;V*+SVpDpL{|LQ2^_em0st7k79@ zfiQi+&~Rf#Jb6pYX;Y@X?cPLHGZMZtrNL#xn@OBMAd3*`PMN^7fx&oXmyq$;ymho@ zeb5kolkKrRw=5E;Zs$&yeLh^~)-dE?sy2L25H9A)HlnD7~8Mfl9@wGDB zSHaj9T_N%bSmo7C9wIesg;95%cp$}4TS`$q;(cdxej6padV_eEGvk~Q7SDhc^aYIC zo}8n0bwg~%M6?H9&;IE8|Cknw-?6|jk6qm0B6sarWnlc`O}|dfo8ypjv58dA(CKkg zm0T{4h%uP@;A{0u>TWJetyA%EsK?&(AwO3rylU?-nV9bYt~RDit?$01ACzy zYqbX^e9q^-oTFN3y0BI?*wdAq_>fpsW_TQ(R;Ox-XQ$W4+wV-XuKY!=p6Yh2-TB~P zg;MpqmP_Uxx?*PW?an~n2@I)jBzZE%_n!NS@6%1l1w)U2b6K9zH9`uQwOIYdHT|RP zj`+)#1zfQegpS7xh_(C^QJ=9yHlKXwO%lCr)#u^n0`~Jubf_I=Ro0Zi$4gqbYQHmW zL`83_(Fx6JelVTQ#UXQF*tZBJYD(AZ7Wy1Bf5VSA33q*lDAUdExw}h36P)R=4fC6 zx!R2j|G}90PWyN6=De-JvfY~_a-G|Yt37@=EU^S~1@$j^{XUXmMQrODT19g&^DU-g4{ z>%V8C_M~ZIYT<%~!NP$gMR$eAE_xtD=EuM9IayK6R?uv`_-CnVT>o^ic1o`)Uh7@z z5sizeu3+N$a(qwVtpyV#xQr|$DiC>+rm}|1~Wu4@_iT*SB12FzP9n9 zRAy~l|A0pMC27jsy(kfbCa$1J->s}8 z@u@JmgoU%r9swO+~a|0c!HKJf`eUn`)xEilY)Ct=%x0k#%fn7541-a^1ex>WTM4zy0nr^=&dmqEp zAux(23l-_u4vTibe@vESYpIr%@f908vzL106GFM#M9dSIWSf8*m`NrY5G$oFOJ17( zLB0k;n|3y6z8IE|U%Me;%?7!>(u#!%S~K!y7p#&*)I$_7kn_l)+kW5wyd<5) zj8+v{t!@QTL)lXupMNIPov*k~K<*#HmSD*sK2(h-_gs6X#}s*f_Ja84*4ZDL`q=v7 z9Phrjx3pc>yc1mf)3U-A*a_<1_-K-6D)FESVZ;neH6oWA#UG2XSJ#syNU$jNoW2*3 zYYgX|1$!YG7ofE{sOwh#z$USwjdByJ#l?-alZ%- zyW%@i#l8*m6qQ>d3KMEra)wD@K;7N4+fTmT(`S?4v}dZyzWjyHHiX4YF87fmSsf|I zj2)OOtuO2x4IsIwwT7m1-yZMKoh5`XBPZq`iBDZ&1!D%Ui9eSix`S0;f|E(IZhYlW zV;|6o$T2_xGnqqyX-zdi7CD_!*4Fp+%8ZCO1Rw}2A`G8f1||D~`iRpC!iOGW6!=9J z+62KtOT>e^cF>K>6&e#)y5NU+-4ldt@b)7S+DRlgDO6SWgBADoW1A#enUky` zOxq?_^^wGl?`H@89bdgTuneWsSN-Hfh{R<yNy7%$bBHXN||+YnkmU8k+I}szfEZl+X64q3%iMbz&}0 zSoL|BFcP7ak37AwXEURYup`u;?}{r+gjrSRa_}(7bl=iD0bMVd_-Sv3-qmQ5 zImTioP?LwNDoMBIL|zOuC2r!8sC>iD@S|NlJo==8 zexeCYuW_O|&rErjEPdG<*3=e@KQbYCr*5aTGfL|;WGjKdD9e{t*zgYv!gn$Zh=sVR zU(r{6-3fTM-tG=35tG55yb0ioV49F`N|5wiOkyRqgmxhy?a(*uDk@pDtl^`6deMDgf5S2!j7Z7h1QG*ODL`(c*D=PX@h7oE}mbrJTVQ)~Mp<8~buV_Qy0CH9a6-SkYl z7H*On7Dyx+@y(BlC~buhJe4Yn{Ytg^~A@n@;jzpy|Va^UQmF=i=XKayXQ;9G7AC)%cq2VJ3pjB$DdkU za(v&x9yR=Iv|Au%X^PU+7;dS}EkH zgIA9yH?8KNT_afP8=@vl<#0qoVwj1T%80$anrP_THc zvK2IpCqKa95^`m@$7l9p-=;*|y(GTo+MaCu*ejX#IL`Fwju!j}Ninc2x$jrroDN@& zor)$O-=lk$aWs`jjLBWJETyBHsAkK*yD~HdKPWZRPKTk9=orKeMVc zK5|p*MYCpv%+lD5aQRcq=EU!vU+JJFYl#Knwp?ax=yoOc8*olPw1tHmi<{E{zf;0p^lZhccHSl)b~y?H}Z<;SzvUD!1- zzO*+p7zT^Zd|d{cyzSUsSk}tcrND>hli@)6J;6EjzWpzMkoR>ot^5YN(LlhE>S=;fF`4Wr;mQ>yO|6^ppBMsG4rD9>NOVS6{1iM~)QZ@rmBu5`9V@pg2*v z`o`^4dr1ng;n7`8ypjd5Icxk`D43CDwlk_C6|yu1Nu_R8oNv5v*`rkD)rOtD~0FsY@c%V+Ze_eL{_Dqj z&z6-!t^+|NGAOK1w7v|_yUW4?SNw6Q_hYKgm9+AemAQlfQ+P4wN-BTri!zqiISfMe zmaT)kQ!6%iMON*TF((-S6qT?saa~rlg4picEJQEg{7tWJ#Rm$%+0QA_HK}9R3iTz| zOvF-P=c``axNzx`7^!oVGRa-Pr%{&d$AFxBqNJ z`W*1#7w4W#&zr3exdrj6?^gV^3g6$0*mrq6ea>0A`zG>#J9Xr?*2Uf>qF+=(HoQlq zj}2@=OmBi^+~ zhuiI6%r!FHP7EX^Z=v``#I3&vVGSQmfYIeJ6E{AL{AT4^zdI5g{(U*kQ1kK#z9J+3 z0)Nl(*XGAx_@PHvXR-Lhy~o}PA~QOW_Z;|H;4NnpP!IDTdz_?0rA1x7?zZ+bEmJ#Y zwKkfnv&`RguD#3}+bppLk>Gt)5XuhnxJ!LctYk*#3c+-#VKM52vkO#I5F-|&bt&Y8 zb+|gs(HE)~9YjDIb8v^8v8Aw*OHsM9T3Q84NSF+asJV$B8Qugq;sXX2AI*ZdVjFU5 zpZ$s~*~X9mVPChmPo}lS_0gy}!mNT6;QRHYq;xWgr>;AD3L%--fyE8G_TB$4((xtt zFXTe2RY?NV7m+ZP|HxoCGLG~nR#v_(flZ=iKVI&F8~1~ zmsAwlgD1|;>M?pR>4;V1yO=w_16#*DEB|GyWOSo)C4(S)Rym_%)-pQeR}dC`Fp%{2sc69CIQ_6uEdH8kaQepSHnt+T zQb%(v9CpLBj!-BnGc>7e<6U?E@l$Lyrh%2VhH65>Mo~C!9~^n`P*n0jO=Z+w)+34G z0jD5x#E~)UQZ)+2aYPR7SbeZfwnFkrrq~>vW9joblq$V)*V0zk3YZMcZCkn0haTil z8V?i(Re1oUj1lKYp0IfUtGhzE+0I<_zudX;!==DO&*bN>}zu~IWJhW z>a~hLqNo49=feZiDyWDFH)ex`MGD~1vMciKXahX!=#w?}{z$oVILlWB`H;?O;kLzL zHDIXWB)&>{ZrsT$ts+8@$I-9vLzQHA$gOmh{JWKAsK|Z&iQbF{*V60}B9-;3+aZf3 zc1^UfW#`3{-)dRXO}v-^Fp-%B*eAS$xz-B}>uG=8LSa$Cz6!x@!liAks;K?Ihez}b zmqt?vD@Pj!>i=T*?pu1qKR17&hI~D_NN!HWPRL~W2Z;oPx%xeGl(7FhW#!aWWd_fP#pm}$Ialrkvh>*_-s|Ih z4tyi-+(pNch(YsgW{aoiT|RHm&10e4lRd8<1h9K0-^#prh++yfqBHn{_j@Hdyu3+- zp#WvbGaEus~vs*dY)Mb>S3par92LoXVDvPzpmX z-6o`@Czh~(bUdEpaU2mnZW7;#~$2 zYNBfr^y=eF@u<{y)=Rmls+q}#m;}a=2|s(C43hS$qn=esJBLDM!Zioi;$a8{0jUJi zZ8`9$v{Ogf6iS{NSyfeab@$nlzk+zJH}VRi%>cdl)i-+ZfdX}Tzz{Mn?D)5~m2quf zkoD`$amS_9{qub-TF_^?{|(9u$!&{1l>>-KGbabyyhVRxmGopuGtbN2)*AU#y4zQe z9*0m?`Erg*mu>ydmOaN$ESX|8hwDn5rq${9cCEe0DxK75Z;W{02+IzA`bFjghp|#L zE9uw)IZ7$7upzJRk0xvp49jM@1)y-1m0NBkHhrouE+%b^4LPnEeLktT1381Qq9ZgP z&PkLU+Hyb5oEvE^aw|+T@7nQQjm@&u1Nd>yZ$q(5Fg36~g}!p+b>~9WV8GBR zFm}M5d778t&0Z0NK>~Yif)8z6KIZRt*&3Rh>25}{-e7#iWZ&Y=UsvG)7X$`{y~qMr zD@V+_9vyTR^NrYU)?;Qw1(r|qMtwk|4;D?T$rlys(4wv-^Q?6a&T57x9OsicXaNpJ z?nIo|50~#eG-)h@nKXE*WLy6vdgN@r5_RgV?{cv9bkoe$sPn`_muJ4D6=`QE1jfDd z!Jb2V-7M{_<^(qSbkF9uc$y4Y4#*gI!|H$xUkvWFDL=4^Yi{JMJP}J|X{BMvNYj&j zJPaq5@b%MPM;*&u2|wH94I@i7B8|VN#>2_q^mMsa$EL%`7)i>Fp?;u!63H>{zqm+sM+s)h!&5ID8W)~Ut zgg?(HWpBQ~|K)GQi`;6n=v->C5Z)3lpidSDWrmxv_#{9LbNnP34b@t4(44?h*?1(| z)5q%VOqkgF&7AV@v6S!fHcsBr@<7H?Je40;^gd3t*_II#j;6;WuPMh1yocYhV^+$j z)}P=H(3{(N`FF(Pz-oMqXTfSLPJY zNam0%Jwncn@Qjhr$#u6u!obp{LsJ2oQRCSs@uu!td3l%23T<+AO}1b$h%XzjuD8tV zAsMA6I6exCNV$lBuamte!NEIOu@pK&|I%pczHwJdzmp~0@=*0A2CN^04QiR#Mw zV=piE_IZQ;S6}BE9jjjc?8Q*r)E-&iMSF=14fP8L2TzUVG$Er3pFAbsv)~UO81L&X zmE@j178P$juOw>;tKen8L>RuA{t=1=P$g$_*gFX6nkuiOQA#vMu5q`k?0M*CxqR5o zWO<#b;v9%Huc)IT{NmPa#%X>t%$kU>%8|WH%zgS zNtKhto}#3^l~U&2h?I&Fi||}MfI|x(A1d!9-cBI6<{o>iQ-6BfqiF2!zFUP=#Q5!V+lIL2?x>?Ks(#g zMSbg~UICh?Fm__lVgW#GH=}n3iiNjyf|ZHvc^~>yK4vz#lCXOZRTa;9WwaQjR3;=`!%0c69-&8d+7;NN zM!yQ^*`kL7$#bG^y<9?VBapEwFm3`2RZg|5V5m;PSb8j13-k=-_M>KE(TX;s6#r0( zg&~SVZ*bU)%?$2>mK6)*#!?kH48_7qy$0FtiKkBPdg(7D6Upr}8#td#^TjL=@k3-T zc?rXR0*H*Hze^nCBODZmEGLX_DKY+a$1sH4qc(FQ@5{G$HoPI547>_KRaU(F^u!BQYLF9A2vteZDT>QRsG_xOGu5?@a`sqi@MmdcyEw1Udkl0 z(0j>9L#vutC3%wf_>er2p-p~p{%Tn*Y)8F_gSt}5B8)qZbT)T!e%UWFd6=L>wmYh!_T5Obiz!8h6JASgnBN|X0#9= z`gx{ry$$egUF(q=s_A`576_W*<=ffdQ>vS>h7XUDpTkn{p(RVFrpH@Z;YGmmN5}<- zFVcxPa0uUqF(EXdquPy9HKWFv&;YV&m;~}=-|N=YmB`cB>?Yz-ac-EL)7Mzq!kUn9 zi#Z`a_G*HGRG7W2O_)6|B8dW4cT<~TAS!~R5x+{;$MCFDhHq>&FO;#J^Oyno@Ti>;FO&3%ib&&Um)U*@qug`74?VLo)u4j zv@@t0@SC-!Gb5Fo<8ped&OcV)E!!87UPDS?sQi>_$o+;@rRopdmcMIQz31j14Ah1; zj)=3$$KLWKNLN|bn!e%wJ4eca=Q;bZOa1$aqXUey=D;JU!}3vOEPi}B5@?9J!;8I*z7*D_E%g420Z)F{f=s zg^g@jfs=sc*Nn*$Q|*;WZ2TG*z0YqAJZn3Sjf*;vC1}}7x5#e(Jf~*@+2biJoexVV z3$*r5ua3lSKRnRC!dFes!;XL{B0!XhsDF5)py#1qPANzENlg7 zf$$I`xoKB5s}3*!96gfHOHqR1XQdOf^X z6#cKG9&T2;m*Xr88!ARK5(bW}^&}`5xy*C$X5gts%bk!eesT3_WJgn&|A~VTrF9( zMMU?I$9S-Iqa}>ZNJJyyEfP3<<*O}{W-yeViIrZHTL@X;F$bPruIP09wMV2`TJcW> z+~~HgZ7yn-Q|=c+S+S;xah84V5miUzC*rFs<64VntpbyXfb(2ZDXe#y~ z4&;W$;67ElQ1@6F4g(L>NJ$BjSNYS>TrI03W` z6t-BU9~Z7kQJFMo0d_>o3k+@ZEiZCC?B)uj*KM2w4-%7%>}@7fmg0(MZC%zcTv`Y7 zFqWCV^HO1r?ELLDm>lTjWs~$UV9^!7_xVN3Cy!-Bz|s;=oLt$~mt@6??*Z{69_@g< ziCEHYu&-8@8$Q%|dM4iXh(Bj);;+|#$nj)b2Ztd{)f;4v;8!Q4>=;t%g%I2N`dcTz zb#gpt9jPd7kO|kI3oEZ4cRocQ;Z)M$_FsN$1IsoD&q`D@BMcdARuulSy|H1x8Z;ev zyiu4vV}}sYQ;h>%R5w@+%Eod;ZiXS#jv*6VwdBC?flXRf=|hSv$HLNxjnz^Gy!b=x z5*-2)}f)LpVhvod9O&tG!h9QESmHhz3G-IwT5udFR_a6P{H-^%mc-Ex}B z26tA~fdQ+v&|J8)5ygQTx#mL{G^y(5zU#|#;^>E|S^s|~jeT_IT|}iAN>TW&2yfxf zO4Y0y9e>E<#tgP1CQyqGEBlT4vr+-Q-Zi)8KR+D3ztS+~vq4h=x4a1Vfdt7+*hU&r zm~gWaG#~awwO{?qh4lNrs1_J%XKVHA6{@AlDX(@wq;CMp1DR6zbnT{^G;jioVmcVK z1$(>$<@ngu$TR=)o}Mm|?#xMQjuL$@QsO|dB~sd z1yhgTSbWC2i2cKaH!i<0Z{TyM{_#YelF7l+FpE2Rd&!?u3~#)zPYsMHZt|U91c#5E z9c~^_h1yvDFmYwrb5yvBCXn)~^WPV#7&wrq>q(VdV)T^z=QUQ0~ z;|cBpmTK>@=<2z_No-n)S=%s{+X~Nr)G*xdyrN*m1$)9Zp^Vamtd;(6c81IOdmSu?|euIaT-6#KY%`O!frC{MSETlzcpAOYZYHTxdzWhfPNiv7g zggjB_60)nAvL#JH5Yb6`x1U2F0JcA#; zDR{#sQ4oKlAQ~8KT;VRPb=qn*+Hsa-i2&43S2*%T4SfAshp$!n`V-e|Qh_XlH+EU& zjYSCHSnmCfoOx##UOe#*FYuzNeMdSwy*!6= zN&M7fTc#>V?va2R<#%710<|WXKo zvr=E25o0N4{jTIbLc0XomF8*RUi9WKZEm*P3Da#Yfqx&^F#ZZBg`=hEP8s@iJo&L; zt`w}$#5z@oa4L^itlvs?`?}Texz(e)xSG zZrTv<80{}zrF>0iN?5DZ*6`SGPj2X9mlQ%aeh|f2I65*#c^NZ2=COm+*fAC!9L2~i z48DS6k$sz*IE20?2;A1RC6epT_=#s$^sSL7NFl1&NAY77`8HCecnb+4J<9l!)FD@y z!U@?o)#uIzpAUOutq(SI5_q0zq)MhZepMXEA1T<S#oJuDsV3r?qTH3!HQjGCWQ zqvG5!o0>aauR`1q4igCCDC^u5n^p0=yPd1)NT!C&1t(7xRkj!~Eg>qBH{w@t>9RDm z0nn1{Ml^_y>#hIivFG<--CJI;xcyN3HFF3xEW~+zBiA`hueU7v#O~>%k2h)M}}>;aWq-b&Cbu;J@kr+H#?%}5+q#S&^2f^F+Rs1 zvdZqxLVc*-jpG2(VYA!G)%eF4T;0D?gWw^Ux zWx`~98jkH;UUa3Pf<60QEeV%Id9cV5PYz};mTS+^;I(Vks;LRKp?ku3^>1V4p@<)e zQGDZHyFW*3CYc2pM>rF8H5MfmL~=Xg4akYXK_`tsjaUOpPXBr#nEv+vbk3*7^>1!R za6<>73hL_M(rqUf=lnrQB44+>kP1YcU1bv4I!JmB>=@NE`~?RFe*_*DyUZm*8fG~> zJ?rY@66s3p#DfzLw)^9JQUu8wc1qN4B!ENm0?Ij>HSg<^5y%ugjfuT3LREnQ=DK~`5Mnu# zznTJ0K>9BtO$P2E?n@UF-U3QrVpM5S=pYbU4k8M&%7h(k3VG>w8h`gq&qJtNH`cdC<+XN{a~whaSE{|&*rYc z@=^Me*c6b*((p z7%iqU?g^kDTP&2}mlO$;B5H$-p|bQs(=|vL`(*h>Dycviul|eWbK)yLkX{g1{`Z`2 zX4Hiq^cx?!Y4K@O!>MvkB&Q|W-nW2WnPmkdp-?I*>>BuJG4g^9+c_-@IC6y~UH#ah zMoR6KZc@N(b~juVn0st=RaS!XKLcE_T_%gipnKW48HJ$<7;;fbyhvvV4D)++JuP>}XG|n$;wVb7#U354@z2P^CdTC;JGxDC@)x;)!A%SJRz>jqK^eJ8Psj0}df( zHLmqx`F>_mU}aZ7n}V=i_PD6ID9e33pYpiv>Fw+N%q}T%@{CSRDWdS+W~NvKVZMnC zLo(ccy=gt#d@Cap=e?=ImDbA5A{=pM__Wji|j)aEsfBwz#*2O|Xz`Ezm3o#3- zbUfV^%6`h?c?RbIA80EaZ^@hG)Gs2E_o3P1JW@cps;9qZNRLB-vydmgvT zxU(@6?^(ZaUR?iP>dvXgo-`|fiyuL&3H$o8@ujzHyW;1m9HvkG)_wXGD`x@}&GVX1a-KuI4DJ+oZWy=0aj z>J5br^P#TnBngnZ^t=9PrGBH<7Q~VClNvB#sReZQ8&rYm(ZZXws>X-5EH810Dm)`7 zdF{s>V`Lhii7W%s52AHI8=8UXb`0#fl^2D22hZ3NoLgOyg1yj$;-F|MPFw| znGWKHD2X$QzT?pU?^EY?!1we2|Ev3+rA{qRJ+=Mnsj8=P=Qks?Yii!0jp}%z)wVY( zg)Y=q11~BQ5(8#4wKaIQ(gP2IW4TA|7CpHB_?#PQ1#ku7*6sXtq3^O#Ca~sc)tD?x zlU1`pSUPb0?#MK8aBr}-Xw{Ji?M=eVxY2hg?;Ei#zRObYl8_ExoZVwslJUesrh`>Q zg7z}(Vgf{z8~`Da`eSk!`V&h9eACUeU{#iHfFo5CmS}G;a1Iyjn@{$s3d@P-#3d=8 zed`0kx;T#m^Mg!VrdSu4mx&_(!2TP3aka4?M^dF3Y8>qP?fVS8m~KUvhZmDHTT}17 z%GMqI=^Qr2yd|sM{mr@lLzP8cn*z7_8+yQ1Pr7>EhB%<0l}m$08Niaovn_%z~QjL%0h!?mC7osdqd8}ICUqlfG!cq^_ z;H5YL3pXW^YD8{YX*uBC%^w$H81h|Vr&-%bhhy|rdN<1a7b_zhy9?rjK zeiWpbFRX<)@nD5<%*_U*!}){9mI#z9UV`#i=wj@M%BAS{e*nwj4H}`vdy76Yq-h=H zS+l!E#*^canPNFYwxlJL2^mUJeq6|B_`db?VQG%FbDw#5PKX1%4hcA+8ogIHEZ2%W zGGdJ(Y}!9hf--SW3grChFHui1Jp%yvkzH%lVO)FCwtjBg=Z4gT&=lO0vX3xCNmN8Q zq;AT$6@_Io;nWXEIo_I`>rQ)dWyhg2uW$Ph+lgYmQs#q`4e4wV7)wY2@Q|3aN>CC; zBr-%a>9-MWw11zK@hX;R$#jd%(3~E(>(rS&&$~*JK~ET#VxQ!=^hbLn08?Kn&tui) z?^)69?kj82hSDK3gnk)(>^@-n+T7}IbTqb3NRsM?r6YgdxMsB!VU_OBtbefnZn&}- zL?RsO_BKQg`1@n9Z11}tZgQ``B0NdbKA*@wP)BhCqrI+YQClB)Wblm(dp79QpR!#% zFpL7h1ffumxl7B{7E-=6g;7!7H9~8-Bdc?R)0|I1!ZokPD8mhNMZdH@vWrK{trA+v zpZnz5s+!y|`_mgm!85LYUML|;a*zj0&>exPjnv(x7_Q*PJ{c4nsasm?5yadW&h0W( zJ$#DM{yM>Mt$u(`wAQ9sdV)Nv&ZI7^In!25D)1tY&wN~1y9ZIJ*BHGE{#YdC9IN(xMHlP1>mr8 z3VRvtG=R!+^w3fW$%~zzE_b^x99-OeVX`H4jq~)OS`zG=k!iK?7_Ai6U0bL+e5En8 zq8<`P)C&zC<%FB@m^H|sWv5Y~l_RBU4DHxLAXS5mKzNxX%y|k|@;V80(boh}v ziEW~KcZssiepjBqs^OH>UuL~@BXu*W!t$~DGnM(+T!J@%xo0!d?Aez^d38TKfyb6D zT`iEiS<3pEvO4z^k*fVpG@@*jc~SSqb*#|(@Pf`(4iA}le@1CRlR#bJHD%HZR(TBM zXc>>LA3Ch6iiMW!FsC(2i|W;0zuZ=HpddLaLJzrN2qh80`#n6qn-5xDv2{4WBjr3) zyQlfCB_=U+mobMa3Di6Ao2Key6s;9tdh#8=Vp#OnWxbM)R`f%hySO85D)B?vjfIkN z8A7_sHTi}$!&v>Umf^-nG2Fa%EiEsM5#F7b2UaGpSCLUoyJUiUg{Ib|wz9rR2euvkO-f4WMqYSq*Ky z=*|*TJF2#+wy8r?6F$+9zbqM6^@JKdDv)Z^Jzo@D_tF{wg?e^HQyetLTQAuf1q4rT zpmGauWn2)IQ&iUj%hz9;6+}3Fa4_HH3~X9tJ&rNzh*&BSDOI1U^b{IZ zBcM%hr=VThmAfI(C(Gwrl|!X#ecSY8+B39E<0bZL47MM;`}`YY(pjR(C8dkA8hCh7Rwf)eK^;sK# z+c+`-`y4+Q;>*qtjG>4(+}+aT59K)vDM*>GQ(BduQEeIDar0g_c@5mTX@3~_HbFhK zxh!Y60MFKvgBo}y;y=_nyuJ-k@Af|%&IL~#{#bS$(>+Jm_8Qh?axx}|nsf5A`^jH+ zb#F3+E0fUCa1a<63BUp%P^d81kUfegzhBwUh_Y$`xu^~0lpvCp49jrXZ}5@~R1bzj zK0TZ=Ek)N?2}|7#tt3?#h>V=_BGcjI6HnNSTL6?IVoO|-SaIlfR(k0)Sw=TU^GUvN zL4mtWzV>BIn7FBL&DU+#_$cY(8FZvaU4{xMFKb9EmUjtLqLni5#e~>Y`M^rj z&|1J5+h4QJyne~jqA@~@c;G8W=rsOc-sBs-@BZ7O!d>g;ucpt8 zH+H?acgv^qDT`12K-*kawLoFekw@t!LSS8ilueaBeX9Ce^ngTBY9*zK zbi?&+y}pmtG?W`9HX+R>9glHSrBUdrR~!|WQiwMpE-;cem}T4i%$`WHOM4&wPQ#Y- zPfb76SEBHe3f)R;tT1)dQ2)kNO}rN&E_FO9t)Q4NPx?&kj(=!mZMu`z1?R39lss;n z?UBh>@iwYtniBaB+GV4PaBymSp=(~n@{JvbT&$e|{@1w`B4?16f1S)Vo*#$= zZgFV;+wZsvIIZpd!Geti>lsMHpv9~4$-UWM{q|t7oRo`F;~aO(%@)&K0pvukx~UKR zHsU=S8eo!xL8R$Zssqg%`$t(t50&45>Qs_%;LU#dq--rsUTmdUC`}9!3DxxQU~gZi z!T8rSt9Yc@;X_DcxcwhbnYS=Z>84Ffqqc#@5n%=C@i~Sx#Xc)fMoo@wbD!fVxgTXsg(C}lg$qK z%SVIM24d6VqlHmoScLO(E>kXrBD7G3ucXc1a|@|z7l8qWw#VZ+&4+dGmO#ZMVdw+b zb5V5eZMax|vcHDA-(|u4B%>kG0oyDzpU3$@qoS@RKe)sPE-N&}H?R&bbx!8h;2G0G znV2YhY={7(;c*0YQ!1?3(@AbrSJV_X6cOKSb%W2tw37~vbvBi#n;l&ucP5%b5V)}g zo%2m-joS5RvWB20+rk6V*wo{t6+}O-st=spV~wRpJ?tZux%%pm@$IY9BY;&l80ss~ zHY{X%7Nyit;tcP2)uLe4EK?nbltvK9pp(|Dc#zzhs;9~?S`uUj$G`Guug0IScglo5 z^t_CnG(Y8|@H@428gbrr{OAo!x{d$nFUW$c``{coAg3s7kiO(NN?A1^0@R0`r0!PY zhL^Vf8oWDigy8u|^dzTu-4IYDQEu3m4XL*1etUl(nj`o0^@p7ACfj6!MtAr~>&bN} zD3Q4hXDx1YfA{9j_6gOwRo!OVMSk~ktJ#C$_S0f?|Oqs(c>F7yHCsn+%PlT-!kR5cy$T57l-FM;DzH%0mV{01DIAniyl}exTvgA6 z^$0~}(K+Cp9df6|bc)_sOWgt%7Uc~T8X(m(^j0xay-9To=L2Mbg{WdIWn|cRV06K1 zX3iIhE*;Ja`Q?HJ_tzc0C&`k&<8Jii-bL>FbDNjGM!Y98i7%p1F}hFKv$wk`9pK6?v=nUEZ6^hLy5>K2nu!<$W~K*$bt>wyVLlVqcS1h?>I@ z6NZ!1*V>`U>7Re@{=*Sg=jYW|9=NAWOPQ{Yc$c2^NHJtGF+a)ak6ToQ8hm_laUikM zFJnZ!h^aA?v`m0vH_M5XuOT#c^5qA>Ct2xzqZfdjrGx0_*M8w#B&Oz>t1=nwodOf7 zy+9k5ttmt}qz)+_wMZQRCemgmFK$R-B2%Vz4sO(IM0mY>KlS+mk>Ig4Wa@XJK7kbH zvT$DnS=7Kt8&)wOvl}ZU%18i}Di`B{qE&tpS2b$k?Xa|VBrF^ft#$d)O{T)D;rE~& zNWM^a-Re7Vm+v#1^t={ktWP5xt&bncC%> ztkQH_FX0wE7H47jXpo~$^AK2g7XIY zg+Z98BRh$|g1t|*+M4YGG16PBmUJ!`R^f(KoFM_}jCK3Z30JqJQ1RrLRo7ObpLOf) zeFG=?NG!}T_z(m2iYUB2npf(QKW!y)ZM^!zGh^2G8mk#9;z9cNMC6wy#2*2Wcp-p> z0!M@f-6L0n53pr+?W6(rO~V*(0(Wq8+h(+4_7#Fp9vZv8Z8N=7uz2+aRs6li#4PaR zl>-|d6I%vnoEc{c7&FPRMjY?+Z>p;Dp1p&Srw$q#eFJ_0mB*VqJ^tZwoMRir=G?%0 zh~p^<&;WMev6e5R$?W7Vaz?Qr<}r!O@3LD@*(iT&;WGE&x0f`#IRn`anT-=ecoO84 zC^THTR*N@GRav=-v2xMlaE=lp@6t7-rc2!J6G_QQpn^GjTYh+^oEEV{^dh#go7H0F)KFqkrO|l6Sjrp7Q*+E1FKNPMGds{B0Xk5TT;d~Zq zXx^(TYg5_?RYuY$zKdNJ~=3o8nLyP?R#Ms90N1p0~Qi7@jeC_V;>q zrDg5k!!#geQnK-q+N-HF;*@Y^)sbKiEGnKQOr!tOT0T(M@h1iWmsk`$uYL4p3?4}1 zi@khekKvttD{1nr`{qxV_PGD?#-%Lg{0hgaPu&!@kehV$1BZZ`+x_@u;Rb?Que{_= z1^2;=B(a)s#8@4xM>2bYVbUqkQX&wBvy>}!u-4tazQ1^$FJazB7=jt+CkPO8jD^j# zx3YMX!isP7oIjh1iLjmqNvE8IZEUdnC3pC~rRciNy1)6v0DB3EtE_g-k=cT5QCQ-> z&FYc{ONCIq3sDPDT$c!hBv@gFtz_5p^cr&l_OMFKO9nYC5C~~4j*rWnAA-T#PstBZ z=3eJ==k)i>00#&(D-*m-*8|ha)SraOFrtSUTOMk)<;~LFQY`9ekD`JR0bzWe%7P?& zVy#V(g_rg3r1Ux8o?rMd>{V8Rqb^DkFqYX!+n|bSLLnq%lsmHfSHYAPW`(HM_eIb< zq!5@?NXQ`dz~SEN-mN#F4*C0o5rY)wQ7NpoAD=t8aNsBt1dFl4J z5Tp~r9ps4|?0MllJxVAT+)MeOtW8EnU3F`FFshY6MP>*OKf8O_42qM074;v!5B{E* z5f-Qzg%2q8;=yxR2=R|gRwbcHQDUS3rqfgr(Q-V8JP~8GzqzM>kDhptT-3S=)~hUl zkp{lbVF7n*2fafT-{&-lnQ?L`xa?<(TZSWrVnL<711emPV8t2#7$#c(Hp{IwRO?zj>u<_5E&h-J<5#&hGv$vGoCz& z3{#ei%)Qm*LDxZ~&sG7ERx3bcZbZfh183rRMEmnU%pSIV(GU?p&jf1h?4Ceg)To{S zLK0jo5K`s!fiox}(oL(1v`Ih3L=d`k)_ogL1$H4(r}f-mah_U|oNde#_^ z(ssc>X|5_tjj6|Q^YDc_qyVJ{3Yjh`A&`LKK1T&wX7YX*^oPc{;~!|+=FblM|HF*s z;ii*bBVE*i7PvU33UnM(#G~x($jTHZQrr2lk~i}C802O3T{NN_eZxehp+!q!-T zkDxU>I|Py3NE=O^hGQyJg)koGE!1VDO=#<4nImc_v{>f?9g=Vz8v4e=*P^C{SritR z9nEGNOO~l3Lf`7w|2VUMQ;R$Oy7?VR;72@F>16$%JA5`JN>-)O6r&$BP(`79B$CDh z0Z*#n{#M-obfn29b=6Ag_@EvDc)rjYkcuS!8~ula8+F)P}=(RJt; zt33Kd*0@cR3*%-Zoht^2<6()r|JzIEaQHynX|(@9qEi=&37GRhaHqitF`5F(jgLNR zdDQa7f92Q=2(uj@!2%OfzVc^>9JTt>W$22(KR@y(VDKDt7e3|61Ec4;&;C`ZZKFZ& z2fpr5x}TdKC8(uTfYi_kVvN7~B^*K?f|9yLQ|JYa%tR}Vm1z$RHw>KhjF7eDm?)Z% z+kF-{Evm+&3Hwg~zqGH9#=IB9M>-Or|J!y!P8z3h&`48__(`Oi(ynTLVxU!7Ay4Q{ z!qi?i(D6zM)w4{i&gwcesh=UGp-{z<85M_O@Qv{o2@nDi9>H}RiikwfoXd1hp+)nF zR}Ap!={K&P=j^Js)j=A=tAa;Yd0(*n&x$T7cwjn`n0|>-G(P*M46@kZe6+ z!jKtcnvGbXVJR^+wOidMF?&Pj=%tRY13q{Y6zYX(w!{!_bQ)9hg=+E;Q^&)Ej@7L^ z(v#0R!q%fh6+iaIce}w`f|YY+(Ma8pWpR^+qx3N%sLJ#!he zjIC$Qr226y(D8&Zpun8rRTr63VlklBNiqpQtkUAvr;ZVla{}|MbW!T?n`QVmEVF($ zc`+lCDI$4!%>?o$B&?YiCE>M%q5#(_QBFrbUb++uKGaP5a-bo)gXqQ%HdviYGn5o@ zEVmUH0_6Oa zh4IPFkx`$uzU0-x3v$JRoaENfY)l$HgnCSPrbI^PrLa(e!c0}n>w0O!gQ#LvMvzG z5sEb!aX_Gf_=S_m>t<0PGm)|`kXALi=%LMS?3U6>HVm{himb3v0%j2!;~#5^dTb*Zj-JdX6s=b z7+ZY;(06c@rB!~>!)NlDv%mb7GuT)o0>QmYpMQ-!&}dUSGP(aI6xW9Dup3&e6y%ak zmm&1YJo1%WdrF-u0pnF|DC;j!2$Gienq`RSVb``9l{)|fge))bpiGXor&w|rl+Ths zYvSbZ9vb%y?2zf>!)u4k35-X8V7xw3jtwl|w@!N)culOjHMd`x z&W$&TOd2b@_u#({bcBS8D-3omR^sDej(#B3;XAu}B@6x6gfBvu;6k65r5PU!H5hy1 zCSd+WX0B@bHIy**yLbN0WbSM7+V3sOU3VUIi76LrgKl9YEw6g3ww_1{S7_^ds5%a55^ z*h06S1~RO%!&v?&{gc>h|3BN>8{CU2EE2)A*9OE?`gC*FcS?LL_$XPD@K4`gGS|(# zA=lVp#RCjh#{V+~CbF*LY&%UQl02U*xm9~h3memzp}>9Me=k`{bL7z~#Jb~Gtyty` z>@6)3rkC8vM}wBT_P2dLEvRfTNnFiwCWz^pmv#N0n7?RE&BrO*ihZKg2zOsfErH4l zCBV?tYaWHZxh%={`iZg^hzL@WD)3R&ugp^=SuhMy{mM?g+d6+wrMwuej#;vNJ}1o zQz-mAWqF1ytj5$Nv0#i;3jc_c9@pL*v*F_tnOx3iR)^dAe6w~_AMYJ;l|4b$ed&c@ z30e`FK5^II_Kj0nM3TiJEg0W!P{1tDWwGE1-RxbF%oJXh;6Su$ZQ@5-iRK)t8X z%fXA0!HdgRdoK{_XTQsC&2%~a%iI#T9J>H151XuIe2Esc$gDSavHO))8n}-GnWnS_oIzH?%1M^ z7FYRhkQIqpN@?g;e{11#hG#oJJ^zB#efwwI4)2}1^X+cOkLUM#D_?1Rk(0=LVG1Lk zhrCUVzFW220W5z1FOj>*_r|o$^r(E}j;FuzD0__)9^*w)}u3-UPeU+`?||g ze2RzGR#y!%3CslP-fcf#xKSrfJ+`>d4jy~t+K7aMcVdy;{ri$J_Ip(Jy%)$fuHO2U zLEXd#xaqMl>-DIR`dme74`Qa*&osr4N*XZZ@cxxg&YUBu6;l9Pmws|P?On_Fci$FC z?jSlD6ELi;ivEZwB%^5=(E<=yyo2jVc4~5I@Pcm|+{-hI5|M%w_Vzv8*ZO<>HTW`^ zX-S2L8|$7d`NO7$OufBHZM$}*Vn8*fbD0<06=im4*$bVQ=1aQPbKAsJy%`|ikmX#E z=|w!aCY4$J!-L3E5m3M6L|nzL*2rCSZK1(^@RcBshP*aeLhbW`y>DJehzedf*f2Zn zN{7Z22`guCz?yzr0gI`UcuIm{cYJ8ca#wl%+~@)WrBx*ol)BM!w}BN6_#-9t+=qVW zKWGpwgA$$f-@R3!CeU4hM{BNX6`N@9)^DuISQ4}IV+|*}Ih*>LXuHP_1apyNsB-q1 zOyUN2_VSUA;;j^(EPTwsmpish04?jQXp;SQdi?MyD1z z(G!LnkbDCIAX~8;C_o2?`DVjIfBaxwYUl~qw%b7Izzzb7a} z<9Kq%zu76XhxKrSX1D!YohKjK@x?xNKo1;lnB%VeVbJY9y=Lj6Q{#lHtZrp{AveuW znQog#8n;KnNMq7*NsjU&-)&fP8{e~d`2{8{$va81#^2cnZoufPWYmUOPx)xD45Wwe+xriX^~e(0T$i0~K!BMhb>t@`3n2?F z+P}1_Go>f=k$WclC*kP{t_p=RUDLC=D9gr2gB!gt>(JoM1FXwJwA$vTTC*)~FYC2k z<*g05i!?rvhH!=dK0tX+OHDK)U0a#qypNzjb4grNi5{$wPjP5QWOo$bJS?{@`$HOP z=CdwU(BZN0@^rbLjj)keh=38D?nHD*<|-o*vRcAFX(;FQnzZyuGe(*9bLK`thI0G= zWmUh(jT@Rn-c!rOZSPx{KSeyIF={1$5)s{q73xWXj48I`Iz`N0_Vk!5z1iu;@$yX7-2m9RQ7z9QM2@Dz-{^G>_15-@eYwq&8Jx( z`%opHg8>F&Tlgkja5dxEnfw z$V|~EADvkvdXAQ!Pb%@L%tVqmzkJIt*K}ZEu#?7ssRnVvh>_x$DI#Ej zn2P3WK~CWmA8rg~4l@qdGa*e?aJN5sM(5tz-X5pFz9?QE2@}N-KK~La|6=lT3|d|V zfi}wKrPn;1Ntb+|nfideHSz3AkWkmck>Utti?u8)hZ{;GI_-1sd~?3l z{dZ$-v%Bl79RqH4X9n~N)SD&28ZmPOXucpKtn%J>m%C|EuYa!m#r^s+}5Vw+UT*F(qT>V zM&0;83OIWv5;gplJ%g7-hTR*D3~HEXR_)`UOHwr=PAfDK_BYR73-~bC{O6HJgDv6#P9KvNM}9d-hDj)y^z6%UQoCzEGB|G${3y%!7@UQvqCNxy$>qN8 zi^A{`-?)blqg1kY$;mEhSh&j7{=Vrs>A^N_8qqPp5Sr|UCc|N6i%yWm!#>^2 zhV2^N`iY(wJHae0wH!l6`KgSYFff`iVH%zTA+oFK@uA;(gy@b8K6QyKKQ`Eik@!6G<)S^axy&*WyAlT&SxVN? zWB)Ef3?x>JSo+=j=?f(5h+`~5i! z5AAq-)j;6(zI7$SkToGNDjKO=1o$%Q@CtVICt8~mM4u}OMAracErl-0DZ6--ml0n_ zsM1JlWdh@h29x%!imzH|J7F|kBgoT`v|TSOlRhnLh-+MeoJF46umo6;C()rapcH!F zCSV$MXKh9E#EHO+#8zOq?1DdLOHC|K2|)BVJnpNCSt0>=qbU$5RPYU#w-AE;x@0mT z4!fX8%@q*xPy-hw^DE@)-kslB;jt%3fAG@6Ja_EF3mOH_zKTB=fzVlfq1{Q>MA=J> z`1K&y`iHbca2A5R={VGK51H=_3&B!(hS(?)Toq`qI|sp^P-bT7#+OHHmk%amDJ*>; zWT-3#JYnTNEEh^JoW>*-@PR$yy$(zHAXbLjF1&;siA4gZ@5@tGv#lwQbt)uwgAcBd zBAj(MpE;lHKPH;fUI(LftY$}1mdOcF9IiPIEj~1VGvRFH<<}%brw&v#3YgM>2rZ~f zN2qf~GgDU}g(Rgwtoc!Fk3ODo(Bp#Uo?wt{*Y3ct5$wF}Tb)-p4z~TN>`~iP2c@CW z0!oLo<7&vB2q>pyC^9l-u|h>nlV2Wu3%k+WhjRi-mzS_t$_|c~{lo0Vs*INBeESq6 z*;s9cS+Q6pfeL1+%&ROmaPnksT1L3bWh$-3+2?bO$ndG~d6pM1a7QkNEPtp}jeIOQN;eHjhb366#>}8a zdxXKEQC(K${s8V_L>m?0=Q!yVwYkt|C`zrd|VL4V(B%cYXKdc^d~sX_Zl$lRQ8Ky^HaXwcfq|M}?c!NAQsx*EK?J&8INso#*jP`-MvA1SA51Frbp_Z<8ZIqYUNK~J( zrSStRyEg?MyIz`v@%w+Zolc};bT;w6d+r&Hr#qf{B$?z0q94yWyGrR6F`*js-)&Ac z4yI59>^h8iM?p-z`BrB`|F9^X)q$?qv3w`IU`K4c461lUkZC;+h^dmH#yrQGGQ70Z ze()&k^HpZ=nnO$X9{FCjL*qhC;X#93DavDMl&8%Td*KSk!bt7^B~L&HVsHJwqD#v+ zO+~k!UPjN(iA^4KZREJUj9w=pvd zQ$MHk>5`}4UK=i^aEpvH@MT~LsP+)3mSx}QacgqdAMWx)$T{mv9@cVZ=g_f7-pG7- ztm9Eto<2HrbV;M8yW=D(tpoLZGB^c!yMEl-u^H+rue{@xb8;xM>|@}}I1(ed8~*+s zue{^zF7&;ko_1UoBJF;$eez~>3TJM!I?Bl~&W4mGV^!9RIwx<{>Y@MWZ4WJ6V+z~s zZNFg|^{YsT9?WWY@cD-2(vf%i9e{Jfx~MZG|2D8B=<{LGP3zX?H3`@?+~-H^ugs)7 z_))bhw8dO@JxJ*YCTkwMillrGGnAZ@6^0dB`d;ag)*RxL(sP3pw(f||Tz3xsBr8bx zW@MStu1$ZaA!ZaAS(;e+q9$v~jt;gNK7McR>-~PhR8^9=78B`I^mWl&EN!mARu9%I zH5^k-r=cZR9v)!>?}VJL%bv@oDrHWWaO!*LG$5rO-+fy^zf8~AHpLEsT6Ai&R*Aq{ zMjCYi+mCMRZE)|{7q-dryJ!&s@L6)FPEJU*Wf{dVaeAD!enwfHHVKieBY!C{O2aB| zI)(!E+d2c{$E$TvDD zD-=;^-vzSz76d63p}Ytu=!iUQ^6%6$g&q^KTbLS*%G)6Qq&FtX-{;$$ZPt!^Y-*lL z*+awQ`v%I{N3FOe4*6150y)xr2({l0hi#pyrZHtMogUluj_&JPIu}$}$0#zkk`T(Q zW%F^K<}=M-7<}`^7f~$_nDpZ;VD)(1+o#ggO)^;Yo^(pf38E018}CqaJpqrzt)*e| z6zB;na&C{AIl^2F1AwF1Eg3s2L|u47h>9|?zisZ9Rrx*ZsJH~A^;~X6!VB+_L8q8@ ztLe#w!4(KMT1R3#8v7*i)Z+)M7oG(2mJdq3)71Rk=(K@Am};=FDM(e@2uaVj`?Cxr z54_kWA24DD6(dDuk_7D<;2|`vjz)<22oK4VEc@MbvKm*^iewT*MTeWx7Y?(^NQJU2zeG#-gF@kA zdW^`@(M|$=)NeLr%6lG@4p@a(bD{+Y+UvaLgQWsUAq?P|GO|e|sv}fF7bU<_8aY5q zD+9YY)m=1DsA)hdREnLgqBHE4qOdo+O2U9WgsVbJ*p>&W5!f?-H@gRn=TO819BhTw zeA5`6EF=aK*js)WDh!Waq4S7jY9gr&`9OmUu~yye?7`(FSAD$gLifZ|h<7W^XD)H& zdzw#`$*=}j{pajeC$Q)OrY~t61^}b2UJ=)N)g+L#6b}m|?Sm`HP(D9N_&_!@rs2jD zY(5c4N(%Y2(gA5cbC7`>yD%tRY{&s2L}h3~(=Sm)d8dO=D2NmVmcTm8$2~eRgvp5A z7-5_Aj4{4yJj#b0ELEtvKDa_Ac$%$eSgdxi8WiQNUX<#7u(7SB*L$vWDM<;^WLY2- zB0~*68~8WlWieWH*l(l{eJU2vAip6UTrzV6rCC9=&Du$Z25q<_Jr z_GDvV;V3#+?Esi1)ihNqC!WPkRmBfJbW_m9Cd9XO zwNS{7e0%B6`;n!@MqE@f1v_!(zwSzmHakY2dQ|L?nLagVse5T~33@)nqNakx+Q0fZ zr+s`m-4?mJEcP%nkZVx&3$x*p2c244K}_xJ38NnR?4h;R?f+R^aHgje`4yI?VK9c4 ztvzWeh0d`e0U3a$_|r+xyAF&6K`9W-8I;r*;Hw4sX?;uPmOqc?(q--cV)k-(WJR2H z&-5-i$=O`@xEmcvrUYGWSXURIW|RFBXR-G@JYN;UxHj`wGmGb|kcQ~D@pp7jyyu~F zqJ1=U50*M6sVnBc2mgEUMwYA|(QiwJcpU}#i=E?^Fg9;w6>8ddGaU7o1g6T7Jk{-8}*K@#20 z!(9WHS!EzKN&rU2c;8m{lLBv8d_Y;%>~`5nl7R6@o=Af>%hKSb2}FhJkMS2&j|??S z^5u(Y8}COgXJeMc9HuT@8J5* z2(j;mY`2VT_ z%}AA|R@wDFaMvrSy4bOF$%Slu2!=##x*S(qpQjWYHo;ImGHKe?mS=pqIdB}{8+c4g zN8jXK*a-Pn;ex12l2H&2uRSYB-KBUGL#z4H*Ba1kHooJr#maIZpX7Dw+l!dJE1iF8 z7Y|YR#Urdz_*t-84xwm4H^=SS{`$FppYJR+-u0b%?Ow~icp|s0+9v$+TZSTr62ehN zH@oZ1_CdqAY4&ztG>wcXVhi@G9w4bPp{zz1C7@eG{#8P17`rq6E4+;D4!>oBejyWj z2fr4iFw{@fug`kWStT%XlyX&CTW^rb{=9lj82!$uB1x~cq}apv2E23j&)Bbm!ZwRU zB-G*?m6ucsj4eSn#thfQUK)3d4WjDAKL3cKuoJWN#=AABweYDWUf2F|Fz_dG$aHFi zb&wnhUsxLmD)s@3bgXW)+{rC(pV&0YI8+D3KBwL7e*}Ji;MUcRvd&9N!c~>VcVS zzrHvX-*2eK9%Nsh5s6O3}hZ9kL;c=6-vz z&7TS}N6t%)LtqIC9ha>)O7lkyVd{R=2%p8UWXrQ#^W5d#cs#}%XODAb5we^a6lqPo zTaT@t3;I6YEv@$V9ij(eEIB)K-zo+3%|XF0An7XPx;L71=+jOO0&a{%aadJ4Uh^cU zdu?LpCd`Nv+fG3+_C7-|tis_&O}plIetJ25-vuTZ)Oem$V=DR0A7}n}+b|?~yoR-6 z*Vg$Iall#=hxUwznts~^EPtCtm`ot6L6wnAkEdvD?vGZ=D%7ZLTHxhu!DA5vV-QX@ z|L070`7lf%tzs;H?H2Cx$E}VoInNFe!0;6#Zs);7rqHve8kjjk2FW7Qu|H=1m?<(Y z>%x!ERtbuUgj$#9bP-4-LEr3xkLQcc$|!wvM7|&>y8YjJw;&^uU=e_>S&}?_0$?t3 zzY7-T{mwA=rFGri8zYXG2Vkl@VgZ=MW4ek(p^{pzl)jI+*e)nFpbS%p#pbP=D9HD+ zUF!1lm*lUohM{O;@!k>EwErZM3;w4n~t`hZW9GG1$Fgy4X4GCvge zpGot^Qb#hCerL*nY=wcKU!p_aY2-x$43(&DHqh8Q#!b*B(=G$C5vp+Sj%rqx)HZbY zvg9yWx%&STc)E_e+X`Ov>3;Ha)Sy{4RrvzVU02uy_|!Cv;c%*vO)X%8^cNx9c|NA} z1Hb9b9hgAt_siueEisb3v%91#+7?f!k_Ua1a`FU|vn*}qZfwv|z5S*!b-oF1-EeEi zN6i&OqynKQIRojK3b~{H`0VZ`JF1fRJb746l3}R!UfRu2J)5$OH5s@(X3vKCoac}~ z)n`swyhBCcZ<<(nfEi-Xo8=}`_;K{{?#s$a&?M7Bo5+M%n;#HIBk;4fyQ7~9EH3p} zIpf!KP7*{{m4F@^7!2Tsz|XS8vA#u5$(d`Z$TO~pFS6AgJhN-S>A!DUlwrV*1RAju zfV3f{3why+mX(LfU&^*}j!aK$lWY6lvLzyz>e|3z3_rN|wus%|#~xcZ97UrvhC1~4 zbdZvWc@i|F9t5BAylZ+xM^ns%yhuWC9FtBMsPtOdBYcZst7Qe%f zu5!Efn2;@^aL`f5trdJnv)8;+oUFq3z+cP`7es$(<9Sb5fH z*g0g}(L0uNRMvxSS>ZDjxlP~D-7=U><)hlD(ao*ld2j%LDw7GPDLpcX+he_(6Ce63 zGiwqoSPP0T>RwDJFRek^8$J#|9fO&*-M7VlinNak*6faa2F2y&=g;z+4BZ=b?_xoy zEU5B3myf-#@HJ=YN6WwVMfFTJNRU;Rxj%v+N3E&5-!uH>?N`r}SuAERw*={B=J;up_X2G7JZ^nu@|&s<(+lF~dRh9hQKW zCL{CYvNjO_lQwE`qx2daKyrWF*vRsp9X~|!W4(69|7bd?%Zp6WQs5&_WA&jKRYGKn zOvE2wvOz1g{l^;&Q)rJc&24q$>Enq6u}Cy2K#HZ|Tf;eCgQ_fxM60(F&@lE8(&*jqCg`ZZ?55@c!+F^dLSQnViZCEE1EX35Z$9e9}W%Ivm?`G^;|Z&Y;y7q&hMd!fkJK=x6t{j5P-C#)&s( zFei(TRyON`GK;|GDmN%Kq9E#8V>j~N)*cy7wPq2cGT)DKb(ChX8*5h^jM5M(MsmoT zh^3|V#~^80pHt(OR}LNwf+CYOER5Ru9X~un+VZnS1A#phe0TkMvY>PUJsAr)3ZWTi zcUCfgQ&N|a4*Q~`)VSP}vJc%(QRaD?(%3P1R}PHaAL)=Q#C#?d}(;Nz6i zvaWQqSi=Jb*dl7lx^FDxz^#9(WLn!~>`Fv1f}2cO|8t=eqA@25gO#^}oPt7;mKpy2 z`lPvT>V;J-<$RZ3bx8Ll`QS92u8UNIT38F@k6Ec+6R%*le0q;FlLT!FMfz`vF{FWe zUH8gWBmQ$G9Ip8X6BPYjrSorQT}AhAtDPq0Dkqf#zKBsbWc#Kd(`muRft#)g4aNi$7mXsO5XoBv*;s z+P(RQ(64pfS!Ypc8(jHEOe&Z=;`qz&KZ#A1vyinpV|>ZYGqda33%MR3tIx2lDsN#6 z02QXh$;6Yd{MQ#Y-2njtS%)QmjI~BZ@O^ly%hn-l-fe%Xzi*px%_ByFy5|kqO?xJw z$wOAoi3YL;VWOe&=7{yu*i%|fc*(>pWDTXe4hrM4#+U=okd3g7?)Z)Ub5gu84Q>?% zxczI8Y>HBfJ1oHtTn>4eDIsenE3f> z2dB~AwJ4V^*da6Mm{r*wUB0Z(?^kng-xJMQLYCH}wh~so-OG%X{ppcVinVOG{Cz;7&Y1ur}yWbzob-Qm}&~)d!7c6mY z?`@hR$HeC;$~jlwcu|9U%iqptD&dr6Ul4|GPbZlB=l3iUd1}$U<1gA<-8av8WAjEP z)@j^Y29pViQ@aB@M<^tQ^D&DXqv~&_Y78shme7#S&SIYkz09pP`j+hHK&y z>p51eizmytOt{4c7)wduNBn)S<0s7Fk}(0{F=N=Ww)WZ*-s-RNc<~&GR|1OEG(_BTMZarVj?_ z3|CM|H`9QSkeDN3+FGRz3h&0?A_zeB{9@*F+b%6IfnB^LvJ!I`G5U=1n}QGAKzO7x zkQ+^IGU01C2@)Gh4@Ak+_%}9vds81iM+532jD&?T%dzPy3^U|EiwEckhL8RF*a(BS z5g;TJ2A!)128M~Ns8BW{HCl|}PYm{4LX}RtxHXp*R-G(MWW-s>Pu)z`4|B&%p714a zq#3@kB8IygySKA^X!PFZgPewW-C6w<$ENd3;tFH@m8vDBMG`3mgcU0C1-^KLJc4@? z>GU<3k}T0BYrPlCIN{Af@uF)c($CH54GOoVPs84s7Md{RwX*e0HDgldFa}lV@;RLJ zuW*)~)q9r_W7zJtb~m5gW_*Gm5Z2w#M(;d%=8j+8ivXnL^x^J>l$h?wWFA|5RGO_s_qXTbd+kFOI`BSZ?c2}lX+=105@Rc@1nV$v^+{}m zN4WdZz#m%YuGn4xto-7VZX!#P>a*fo>EAv>))DlG9D6M$(n<2b7d>a1zu=W3clErD zT)j~egQ3ugYL`o^%2XkuXvI>@2%R>!QOK!X*N|8rtV#@aOa@bMEYb3U(ORh&Sn$Fq z!*cw?Bpg+hGCRv;QcG|{>dshY-!sjEh|cVa6``sCSHFTPKVMWJS&a7A(E6LdaGy3$8L~sMlR$rLzwg_y)xMVGfhthPGC6W&HiZN07n9#Df>&To8r_Q zf4p9yk=bA%b4kjH-hk( zzIyqMpK6Ln0(bliVc}x*c1aSSjEAOT`?ayJG^f19s@Q}yzpqL>7(3FTl775rl^NfM z9Yg%TI!7~H+x6`Y;c$};chx#4mc#d59O0GiU)m9~R&M&>(Sz-IyvxxQRz?=f1wv(F zI3tqVcFUn(tlsp=R=t+x-`n3_>UYy0>El?qsJN?=FKAbJBJ7$A>{6%QQV8}XvEBAe zAG7W1z}7D59aak{c)}%r+5IzjL)XHB=uI5`>*l#^qqX+^nF`CZ?cs~tHjC`9i@`AD zfWXeqP1#F2ZY(v~k4%2Ke8p0G_+4MzAB+{NzU3z1Ak4Vu>3lRm(CmH|LlF7=wr538cDs9=Y2&-t}mhFOjmK~aYbHXY} zSowB$WaZq2?z?a58*tUri|`Sg392I96qSmeR4^hmlsXbvVE?wDDxpjyu#+;1Aie+; zfC&R;Xn$PTHk9ExW6_F5`O@1Xf*ffT4Q(6NplL+7* zCH-a)TFCqZr^4D{S?PFj7_BiiV!9e-wFz8N;vQlIe}r77lqF2VmFCf>P!UX*-SZ9g zZPnLdaVVd}M3c*thy=N<^ZEwbVx*TKtl>!lCw{4&Oa0)r8A>If2=wH(ezLVY_NWS} zhP(UT;<9y8&}bSM$*U61Zl^aImf#hX2_@cW-$4VP8&y`SihQI!VDmuf&_(w)|ARk- zZuK3%YTE!f;wuHg=aS09D=k$^Oqd@ta;I2`n+J;!g-fF<-V0Pe{moMG(h-gAiRANS zAW?3kMSo{snSb@RX*6^gW8QL>X-sDLFBPS+w~==FY+rv%xH5GmiFU_7wrUv*ihVE^ z;RH>6x3m8qfcltGe{O{NOt4`#Xn#aD}O4B`Z?$XBlpipXSq1vNlKEG=qp>Jgii6fB9-UzHt} zhe%Usj^DOum8-UNTzIJVfn|e1Cg6->w2^I=-f_VhgrB_lnFf{{Sk!{8iJcukjB?FMLf;=L&q%j5+c@BAe_703D91qgO!ZM~e>LnW9N+LeFJHyNjKY|p z-5OX%GQE@f1$km9;9?gjP&MUxl(Kqr=6k6=%OKM=* zF4Q)b&eYU11Fte_V$m@fYVsn+rbPK?c8)%I9Z%%e6BUj(OKfoEc9xGUJ7l&lxXmjk z?-!}vtB`9Gu8rYn;M-2{_2J!_sge$3vug~2UNZH}d!o7I zEC-v;+}2`6Yi4m9q*oufC%(iJ;V&uw)Mr%77oY8;LW;45z(alju8wZ@{Q$WB>m1a7 zh#4p8VoxFG_}AN5v$JF%!R?br|JTv>U^r}lcK0WXuKm$mQARBdD*(3y+j6$|>@W4g zmDngw0hrhVXqmUH1;sf-R^Eq&!MpTYncti{H`H=aux{@H`t18*KBUL7FZa0HpI`3R z@i)n#t&a-~`-PkSX!F`(=*baC*kQxn*$SP+;Y%-W^JUEDwU57MRfm1(belUbGLHo> zmrMCzdi#fNI$W(&x0V)tUq(bSmIU9j{Jgi0iEv2_+^NaE5GLlA8^o^6Z;GEbv{0}o zG~|mIG~|jo!b(SY6fx(LFh_E=Kck!pbrOj|Wt@8EYcH4G;h%HJ9y_h0737Lg8`dha z{UVLPk}=pTev&qs&=L^ljvrh+a26pgGHOA5hno5m1cqxNPK?&$gB&H?5}MeK{IA8( z9p`psDsJbB<^3qCID~?ySr9h09_19MQ@libpAdrM^wId+WI)}NbD{WGmR}g!cKOo7 z8$hY1294Cd93063%7({{j^umpbpp!r7b8FdttILjvGrf@SdU~x8D;kqr9?}+i_<`B zRh4%s_6VN1;A_D+HzK>a6bz@RtiO}NR4_3t-)pLfFL0T(Uw(hEJ2J8~T4q(n3VVfg zi9w8imi>pA`ekj0v;l>7BUL`J_z3-DkIVlgoI7`7vaEf66cb1b5;aJ2TMiw+Zox&t z9UtBy>l>oLpu{fQ!)X~>hOtO^F9_zbYK};(6j2FT^J46ziT?9TyXPT8P3RN^J!uNl zQe_F0K~hD_5JC8S-sMgA7r=2wSTd)(S7yH zOa9=duFZW^`qR_tD%#L97)roaE*MBP0a1&Q1-+Pr^CvL2n#y>JvqvnclF-t35!pQ= zR8TNxjZPmrBpGbkBT$SK0Rv-GbGG((!}b!X;6qa|MGUIfM#AN?Yq$M)c73K()39C{ z&ZDPmaF_R8w9@_PXtp6T1jnq%f=*c$j7ds9DhngChX&tz+9L=fm`<2a?xG&HE~KRpClEAAIFzWc#f*}E=xNvqnRmghQW#tENwWjv9l^tUZ2tR z^qCW#5-3Yt>(?dAxk=+Cflg|?6~zKGG+Xr_Bxv5_%VagAP=RaQCG}It=4A+qiXg_M z?ID5HKelDC@&S?adElD;LZQ3kYU~JMHUd>Yq07lG9%+mI$694>AYK=$@P)E?&D&eidv^*vt7Wh8tekc*b97_FF%TsMn`Efy>~ zRQcHg4V*)*UEwln4Es7RTK_6}c=RTMDf&*&4BicFFhGpKfC^wj>z$~5jHzx}-lTMF z165I7Q?&kMiIuSP;f%;iyZlaeDPE~zy@8yQEDLfQauW=C9%^tG-rm>WF(4@*Qhpr9 zilmFN;r+R}o!Jz8u|n$L=_)K6);S&;yz8_YrAu@^qUum*SoGwMH|7?u6H%8fOX4w* ziV+qQs6nj49&*wn-T%;oDt^N?fvRT5{AXHne}q?a5Du!A_nw`xao~==Dd;?7bE`oL zDs*vtG-3L4POJ*GFCMB2)t{~2Bkc>tCMUx%cIv6@lmyq;X2j#HY0_>zdhVnts#C~X zg5NT#l1-A%{ufQ66_M1DM;7$2yIv8tw0MxLe~eIz*?1#wGUw~*@z0b`FjfK;g0bjs zIGo)Ln$ogEo3<|aA~WNnPrI=9jt3TQG^5C}8tdu3LwsK{h+u2=HHZ>2jgKvSck+?> zVoTy+a&#uEy}KgyHw}L?5aTXY8z3!rD923r_8rHRGSZFdpkm(t!#*ojmoOxagM0x* zQRLV(I5YF|MZ;EQS@Z2Zl&Vw1)Ih+M)F!`Kv^xp4QqzApx_t`Y7%P>-e_Ep?z|_QT z`-WzpU%lHXdR5Q`gG4FwvS#Ja6gi?B)}yWEAH(i>RJI6=HAb-X>M$1X7#!tX0Xu{Fobwd?NJ&jMU4>iDuA+9D*;fnl;NU18y0JN>V?^g$}#~p z@PEm$P8?_4{5lvzHVXY*47EU3`iWJE+c;IuliD+0RrASowUu-TaDoDnW-&t|$+bD= zn$tbDZ*611YNJ1qXpQ5Ect(wl4by~Gd|<=E1Hw);0EPFUOROYWR>|pC>>H5yl+jA& zLrREMUwQGr#mOrqXs(<(xF4sOBT$4RH+a{A(n-8aa+=f?_5_b4VCWTl6^#nLDzc%X zgD$5lj?gfXJKc&s=1*n}Qws>fdv>&}*`+5f2_y$-8W3(l47yu4F1Wze&e5mdbwh5+ zp&cJtaB^@0Qnj7qQ!fS3@MCN(aS%Pd92sdmJ?SX|(IoutP}6~%ql_{&_|{PxM6JUs z|L@x8rZDsWfBq}E=dsUztiASY?X}ll8#4KMNGcTpAZLz^l4Z~^LciZgQbgsq8!wT@W^CEr)@aUo(3nGML85& zXAM&V5R|~g9&xyO%M*TEQ^IQ*J&F4m=a%N(94kOy0R)4gB9SAtf_t@YXWM0N_I+*x#Je0EZU6>S+i~%JL34lv;f{3abc~KSZ za>i4s6ihpOuUxJWplF<`1WsTb7y-6-Ov_#J2Iz66ZBkF<_F3mBZ9-CP@Y7lx%v=Jh z`or0aj!DQ@;?a8xa&mor99_=2U>wQ*$>qICpMmNoSupY1r{*m{V(0+21_OtCmaKDp z7T#z6A=S~Ew|vxwQJ6cR)A98e=8nBV@YS{N_cs-s+{*;M5kJ;7EGiM@PwR5TQu#ny zn;cjxy$~}Td_m(R%REgq=;Va8D^nTE0uqVV@|5Hw zaSzqi;w1P@_}rZ>$PM_wfkcxVL2KFLLoKv?mME(qsV_tsU62@2=7o1w@)x^uUuYb2)u2VQOHSYz6(`tpa4q$Y`ge}Jq> z!BVyL+pcd`14(A13a$;V8^T|Bq4TO}1iu(X-gi#W+Q^n}`a&^81i~{9Yg3fX6IFZc z$uq|+Iweks=3Cg2Ym0u$YImxFpugkR0W=3*+4cQDmDKI5j1CK(j%_6jL&jJAaC|5`ENnLcoKCm& zPAqD|?vcVJ@I{yR_wH+c>|b6Nrkzb38Hw9ZmNbnMd$>xY+SRG@r8>OXvz&l-6GPT! zF)uQzR_*4W{>9$|NxvOnC((UWuHG}WQ15((pS>8FU(gzFKY(CB-1 zJg~8y4#GGm&apSs2Q!B%c~$x5u}>jcf^|8T7n%3hUp;YFTEidX7am>SiMl@Hzl1r9 zADuJ-YPM%2a*BpxV=UXVf*qecCn+ZGyt?cnUklo<`^Js&bD#73=gC;3b8HHzJ`%t1 zQeFE(TVc)!H>h$cwQBRGCT(r7pCnS-6W0Qn|Y~ z8R7g*{%oanqVSNL>MO7r8Km*Z=GPKSQ`kDy0>|N?s-Ro=qf;@HsML z<h|1 z%Olm69r-AeQ49?tUz5rQa~Hqx7mIt?vhDaJH5{?4WQ9}(Mjifaph+uP-7nf>(`b(&gWqgP!GU%D zT&D3?#f>de$+uD=@lx+wc_=lw*GV5rIY4Lf(~I-va%VEGXvwEQjkB|ZUPWGA0+8iy zo-2Yc;7i34*p}SX0$t)sDhyz?uclx7+#UZS#hfsDi0xOoZ%RW+z*OCdu#Pgk27!%7 zu54(JZ|Uu>M_WO~4@Ny4Ad?Q!a**s`{O9jlI$DE!Byf~u1?uI~qHNkH%bkaX1^$-k zmYo~l^|gG<>1FW>_Kr9KXbpSgwagF&-zrKyDt{XNfh90&d%ydgJ0I_W0>P^V{MdzP&q)Oj^(l zWa|cCF$3_G4QIr9u>Yi~>J#&>b~=dNB9v{6ul-`wyve8A0sC4^njp3?_vWJD($0_E zSa_yt#?;q)k~N^kN!PAScN#{%X~xK!yZa~?gj{!zUhK$JbCYxgZGX5{bMYfu35E- zP7-V0Z>z7T=?1dIi4lkAe$m$M8FlxKa6R}MVU@G0{7(3{fn9%?I~6VA-sYAzJKn_X z(J7WGs&4|FWJhoYjKkK@he)-Al1g2Rez41LTzY$V-v}uUjn_H5NX}Kl9H;K&pgZ`* zMW#jRcf1sMc<`N&TVjmV{rBB1>yDSQ@7id(U0f~yv#);VWqD58*nA{Tyd6q%g`v!vaE!H0z$D?xM=g8MTD2bjbDaHgEo++q%vj4^Yn-K| zg~E}^{By-6XPjn4g{;0JUL1yy-GjVeQVYgv(dmig(>?ygVY4#`V7`PMvyD!Fb*t5Z zn*PQmV!I;&tCM>)$yk0w+Zs~t#yCSYcWl9ZgMT}?8S%gGL~ix!(Va&zDoaq`Y6{k^ znS17nfh+dniuEoV6k{)!UDb<1dGkh-r16HUA)(_-nUN6YkHo4sUY z!mOV5VQs`Dk}2W~_)DHr&mO3GRR#NW;c2 zaFUXt#~T;H&K;a8Q#WWvS+vygL1aD)WjqwVs(`Zo(%qYy@T`vWRi!8MIUmIrOA`gk zayV&zo>fqbnvHur@N6c#LHG~p3}jptf4+v8mbMeLtpgaM!!9&V4af$+0 zLPMys1uGZMH=snS0^CK#W=&jvaij&Ejx(3Vl^@N!Al6MqrdhwM zJ2f^xo;M;)!8_y0t}LeGzSCc#l7c_EO!BF%!_p9?R>H7>Q=*C_$2s9>oxiP8Zh5D( zOx`50bPYh8oTSvgx-8ECn-rvhCJ~{DLftj0%1t7d)=T7^+kE_(tbhM`W^IwqF+qub z+ftt$HKZcz*WIglxoD9b7370a2o+T{kX&S8P6@u|h`c`FU*Z5Kxi*%ZU2 z<+&&hbWbipIkg^ha8>;7wnYscXb2O5#Ox53i~#W|KUsVd zXCYtv0=sWd`2Gp4t#BXmA#&N%R?uWsrof;qp!wOFTQOep)5Q((v26?HOC5LBUdR7( zh;s&sA#-d#NEd7oFA-m;P<>{HZH`ynHu(xv*b- zaqoF`3r~;i!K+edf+?qUcgomH-#Z35p=&x)R~P?K94Dr)E5+PJ? zV;S#}(yDT}5OLeuQJ(g=; zABGpDH?qcJRA+f^g~4@h%r2v-QTALwN{FD)4Qb%qowicE_KIK?hYreQ*CATv27r=a z4VVWW!hjDYz_U2X6xwwweBxC>ZagFKEl%1%Opz9FLz!K+ALU`u8{^XV{Q7wN?aQ+5 zTIvEJEDJRAWYPfm@!qSJE)>ZU5fY}?b>>B5RA|Oevv3evJ^`=epo~_9FQ*HP0kSM{2b)`*&)2g`VhIi>T_JHv zcoI4+H#aWT5;eSA&`X9SUNk?znX=L_ed+i>-_jtqul2SqoYd;K~N zqvwF!E_T6)8;vR8w%KrzpMaq~xb8W5g%+|)&Fb0!1cF|C{ja*a<8o(L-Jie=7Mw?0 z^B#q=X9-A% zsvXId2d$*$B%(Oh3X^2;lX%rE@=gDoHNE+9KXY+ut0P_E;%K*$7hyn!SW#vwd(#GL z8I~iv;vatx>rKnIuB?k^UR~U>$@8?*CNL7A=caV(X7M##lLiQk1&Z-@^_%sb)ri9qxiETVYTdt9A?a}jaBk6O<_GJ^BA)P7lpsW019_8}8q|;lZ&U|DOZPGELU;D} zdnkiG%y!VyI~qCnGHjU=!qhOXlLu7 zDxUDAg@e-`8FBpKy4~U;9gs5UVJ)jV>Peyk&YkKi&j$v;KciF=E2G^lk;SR3{HnG& z3$vCHX03f86;#2kaSehU*It7(u~@w-m5L9(sc7QT=8lu5Y#Rm}g|t`2(-)x(_~b=h zt?`;0mNss(hc08ai>-VAxNM-F*g?_#%*C}*%wiHD)f~0>S`BA!WjB2!W-MR*cs5Fy zD}AM;i*?8)qplI!w2e>$6EKP)`|%xf7V;v`wIot0$+lmUla0I4|~ zFUm(+g?;Izwa*F@GqW6(_H!4a?G+gH?dESc=f-^uc``Nkf!@ogNcbBjn+-f zy*aYFmpL|$1o9Lu+x-T15{ksHt>H}Wti~Y zcgShmP87f9%TJyB(!Js%k&iRy4LL%>nIpopNm5@^2Q{QHNH8o;%D4n(b9oSVtBes> z@rU~G^?#lzoQjW%!w{!)Z?GC7@|1vJD&d5(KbcH{JWB!aR4}F9txd^FAS~dQYw~k; z!gQWa!D{5~mSFmh9qd+DMwuvS2u30UEXi05S(FqX`&|;rhXxsfvRA?N4O%nvco&~* zPz$LVLhW~5C&rBgtgzP7xKoX?LH2HSta>wyhsJ||c(mAXmggIFS#H+y zpR9M_Z(Q<91xZU6!kFyX7+X?rPV#)AY|rbyxH_?)AV9ZeWN}cdTx~fcuMKWpQbl{! z-Ks|%1Sm2GgDq}d#wKs7ZPamMoU?eno}U%VwDabHlf!kKAw!N`JGC(B%decriD(YH ztSm>r5qJxDAFo9juyt!fjZuI=pj?uQ(lGL#ys5EV5`W2{%=GljvSLtEeP{}_GE$5H zvZwR*cCTdJG=U&u>uZOs-K`giDf{6S&8PN}7;2G=%cBlOmdBKc5s+>kd{+Ofj0`Ud zYq*4I{obpZR$V~$EPnz4f50jQi>N&5HADc-R=bOSE{@*d9pe-Z1tXE^|a~$nHA3%2ACuvj#R;c_zE1LGvSh$q~BEL z+2&`PRbbV)GLyB1*?{O3gCW^zIr6FReoBOU376Q}R&huyQbRd>ffQ`bTX%ju+rHu_$CujgsY%2!K+XK#(@W^9+sPj zHt_ zw~X(Q{y?7Z8ue0c{`y6EciIk}Ef#KCUafaGVZbI{{+EmMbd1{l6HUb? zd=#Es+%@-sibIxDr@$(1*|&NX>|#cP&i`Z=iCltdeikY_cCn?vV=8Q+#_#X!UnNS2 zZ;8#x4JX`TTYBT^^XH$49z3k$_Q8%v;(PxJ^P0jjZl)}XL=z52cA&pGg_SGe7-x&{+9}Yg{E!NB>DR)JN5e(y{~AN%z?O z&HOo=V*U^}zsu`9-M85Jyfdf99iQmwPzPukDtp)HT?2;7u;ZugIpe1X`k$W^M2}o_ zlMMo^UR(a!ay^&Z@@irG1VsBCr-~9L5x3L+ z6DAR-=;$}j=7Zr(_Z%P6ozpGx_6JYMw;L&s>LaOF&hVvlop5ge5lTN@VLJcN-4Rj! zFPN+e^{jKZ`&tg>#f&~U zKFnflDmN@T-FT||<|X3Aae;6QT7hQ*_bD~-EL^5H+W2@zJ>jcsirZ>SnBoD+Gb&5D zk%HfnMfJ^Fp%gR38ju^QOX07+0rwD0724(RS>V*6YW+hsWr7K{ zv8XC`otl35n~(mTcopUK+7e}r>^Me={^No=?HhF=4#x0N?5m^g`^1t(L5OXn_~0)& zYKe_{nJ}<&&2+r2}t+Kqm zbmJ3QGUGco&xjsK6ljSA@T_0_1KgqtcRwhV!FCQYBbhXkZ{7lbP8FRx9kaL#G|Hf-4w&? z$BuJy0dwA`dwTosxU3H0Uc${Qqpy(!DvE(mC1h0)m(P-_5pvwO$1z_OEKDV!Zx4Ry z=CoH{!Fdyj+y3z(@e7^_3uldI-D|?anMIzl?THVZ{p4xbYm{Cu3|b90YrudzBl{EY zf8gkcB)LC|rg_Ig4Mw4L)HQQ7*50oK34Map1;bD97SQ6O_H4ufjA{%X#UIZ;(9mT#UC0Ag@xaC2n&V8}iBsjR9q8hey`|m9IlIo&dy;6hyU9Ai zm^$d5A?1LiNblu7!tL{FTlcHE4CUtLWvPjvAuqY_pKw9(22}+jolGT|Yzd4Hj?#1_ zR;B6Qk`cs~0*iyVyDt+i0oX~s{XGx=#_cGPdD%jzt(LS&-+3g^cnyX4^3JaM6!OdX z$XAvwl%?+a*sSiwL}C2&`%+??GxSZkZ~q;#)iMJgAqh$yO&*w~_F^RV)n)BpDu4c? zPWHDn{_deSvz>bD%FJ7Y#Fjq+$K-h)yt82nYhi^jpvM@RZY}zym#)~8R24tSLj$tk zPxnGdc6o%OublD98G3j8;L7FQTea_1h>*a6H&(t*KR*aQR?>+4Q6y=@1*w)=X2UAD zJ4?a|yL9eHkSS0(N{qEugO`Ue3x0#|Iv#oV;=UH8mEC1$1D8hzGgJzerutTcsB<9! zBe7}z1aOz9QOC$Kh3re{l)q2LBcr9D;~f*%~8i z*N%_-_Wu<6VHW)T2Mi4LCF<&V8#jG0`}z+azX)#>J(G9Y9nfH)&8{ zFUeh`!LG7q~fMcy^Go`E8P@JWR>x?Coyzlc;xEF6XMP9T)beabVUbt$DTag z;LeE&;@@p<>DXj~ySI&`x(71C<_I%v?VerXgv}Xai_zvQq{?dD~)tR|4&B1n7jfR$M%moHZ3r|I6YJ5a{A1&%wXW{ zhD}p`N&o7>(fwkSxVse%?^?NRQ1`0C>9&fbw{Fbnak<9X36P&ESM+MbCyVXqMFS=^-UAJcoVuc}lu{9DF7)5u~J8*LJ zp=>bj9Q44rKfPxs3i1gUWpV=n4GexwOE)pI{uNkbFKB+~g7ILCI=V4u@LISw>J-}n z-L0mCA*kxiQ!^L%CT#{i_P*{vn(1*1f&CzY4Ga7yplf#@4Ba}cyg##J4}99d^*uQ@ z7mW(pfeqBh(SM{CSN?W&TgPZHaj;?K5Dh?hmPXYX*t%zQe0?dm=I^gKWfBX#=MP`D zfn8mU3OK2?ZU#p3+Ofmu&vY6|dL$xwfx>sREB0-rhZX9S2MxHd4TY%IomFYd+My@H;O z#Z%*IK%tO|VagSv;hO2G@Uhk?9j2b^4oKCw4{{lEQa0*L&7gQD@NCCr@zX;q+ZXcL zIB^_(f#;TG>2=ehxY-wfZ}+O))a2H>q7xP0y{@pVoRaKF*J=V1poS*E5a;**j%Up; zm=q6ZHX$jEAN-p-4ACs=syj~wC{OSeNN8()&Tcnnc@R~gl2@Y>HXQQ`L~f;L60k;H zD$JQ0w+iM=S2@;lqpUOZ#y`BeN7QQp+D7Q1HO66=MH%>)2UNVWsH$k~D!k_5g;#3r?4=@0zIuZxc@#>S11I<8)eeB^-} z>*~&Q*bOBGm=Bz4k{}Xh!*tRP!iuy2Xpty3LnzH#R4P@u0mV1FGjlGis9`agAXQU9(y2Wgc_+CVXGWhTZ}EOksuBHzr2dK`0{ z5-hM&**Ew62fL!*vbioU9Y}W_XUN)5w<>^sl&>4}Tuo{TeTx%WzcCTb`b6X)Yy+KI zPQ?MUR_n9fTPxaql_^3EY(jmLRp~h=xsM_jK^w(61#YmS|IsWnB%XviHgRS?{A~{2 z{K4j>^GI?iUh|Jj`(=qFjK8~dGwOI9tHZeZk3RYlq2|Pe&z@hOs#jyNo`@E<6H7W7 zYoKpZRAD~O9&K7Tb>K%Mdu#kw@y(~Mddoc|$e)1o>UmmeGsr775;ecRhF4khdAWC(^@W zJHLPp${Oo}eUiO@<+shRaaLL)aE4KOPNhaUajYJUXwgq77RB6GE`o}ZIwC*n4JaD9 zW33XApOND26_foR&|hYQ{cybYODk8#w_cJ<57(-gm2k?fs!A?lQVj3u0d+7ZABs_0 zg@(~rYNqf3Ev+Ibv!*8RY#iG+@XOq#+AmfFnMv3r1G>Z@r?irWtq8jUKZRSs?~7_$ zD`kv`$^vzvI91P8(WvlKCLcO&gbo3%)6 zqVCRrM!qMhaMS#}$U0=?LbWV&b2>%6sC1#aspvS1xDly<#1B4No|PJ~POVTWi*#7y zia_v^o`N(@KQ`}N+*xC{HpVxDEo9I%dn@{>g28;)rW{MiF?A`We&l?g0Q`z{s3wqLt%Iybe3qLvP zRXD;^M!~G>Q&!7BkYGcP%Ci-`O`>zhlOq@62~VB!Jc@v*t%)!!983^}oE%%6fmBWy z)*irbl|$B)7%R@<5_FcEQ*qW$bls4K>7SmOteNhc|5*J-YN-F{;cm}(DOjLPRt{^Jb;Bvu3I zO(;XhuIE)stf#a!kQ%{IXtq3)t1t#a%s%WC{v7MGNS`4^m;j6r)p5mVa zi$|%D55C)PTWEatt#pyuym4|d6n2Y8G#iUPl|Dhl9B@`h$CkvuZCTb6f2^&sWk^#E zBxhvs7M4nB28-T@gPHUV-5gI2^!CR+R@$|U{XvTwTQ#FR<44Iy_U+u$+kJ7dL-~{a zpBFWGn|vU)0#A`qh528t6E_#3pTEYUebeyewAnJ(YG~?8xGaG6HW?l50jxMvso0j# zy#Sgelp#sYVbNTLm+pzzV3BC07DPlYCV6p5)VMC^fHSo?ng#zo21hQq9G)R zJL8s9Ls>NxwhKFje!Uz34eXeX*M6|KF!erJ425@>{Sm@g*2!f4^gy;?U)5|4k>9>U z{12leFplKbPef!|jY&x*-0>vUkr%^=FE}6I7;|owBm_^Ttw!pz zzF4dLJ?d&)prVV8!jVz~Y|etIIJz3(scS~H`(n8ozBph$0GJjd*8skYdy6|)rd`Wf z1y-sEDK}8$@&H4fjhjEG(jvSxbb_W8M}-9m z;k?>f62uZjarRWaeQMF_HweR~Z>al!3BzKu*Jl7a&t*!EF{@8-|Y_gebbWlBlH~cpzL`xs+E2TisB)5ov&s4B8o-jm>hE%)0lv7)oKO(We9SK%k3SOdRR8F9TsMc;=u=aDCjd;G7Rv3 zPG!ZZni(gqP<9an#m30k9Z{86;NkxGk%8~$N&w=QZ=YYCHp zXC*qIcGEnf+2jxvey2W+AAWjeZ~XiZdNXbV5!w!z1`*#rKdhy2sf7h0@HXPE{)G55f4QpVG$R`p!%@6;YNM|G%_3?c zqA^H!?9!ZsM*XjH@cc^azGJc#TAaQ;HS3NBYDh zV%i_J@!o%jG28y-p8LG`iLVsde0y(WqwJjzl+s)tCAM>HGC)UP`_KayZWb(QBCU!^ z0^-!LOr%6haSgl^h(3W)aW7xW(j^d<%&EbN=ckv$<$EO>Oz5hX8j@(}3nZXlMMIeG z0rH|x_2R}CKT6W_r)(hKbNtx2wYpH4kB{Jq#uppcQ$$Forib*3c+H~K>3&HE_^4xH zBw~uEghPuT+&Faq*1y@>0_n)V75g#0@dF?1%50dB5V$EioakqZ?5+TP6{d-aGtCCn z8dYUb(RU3EBqWI+{6IsY4iUnx-!2H^=RVz3n5uli|B^?a+kT?2DuS@(=O3a9NJLf* zDsfa9Yvfjo-Hg@P3(a9Sy>a=W~%38ffLxP`SJ~&=9YV*FLj(!wLR1 z@(yPux1eMbgk8TUE+IcZkSo0wz3JLlw-r-X8qb~cPKse#@0f~4dm6p?+Gb2jJ^7cZ z`I{QF%NG$zorV=Q3^)7)Okjk`pt#m?lcwmfl0ibCvc;XrGCZ#R5!WF49RhqA9yQ_< za!8*MTISb640>~$RGR?IYx+kajak5FJe*mxaNptE7l~ExM!&u>o=x>&nl`=GCJ8H9 z79?$&uYl3Mm3uukMDBA`reDU!?8+7fGQdcp4u;|v-koZjAL&M3(O1^oG+Vuv_3Zn| z#~b6X{km@R)Pd0z_jsrJU9fBeN?pzd4J*E0j~ePgT4J7l@G&V^0Yml01(=ZG{Xzo< zrGd27{;+d(28w?DAeO;Zl`X-lP?9a=N+)5)IfL4|Il1#(3&L~Bddn;|XZMmBH?x6j zXh06YS>N%~UHyd<*hN!y>@v~rq%W#fvS^6+?)gfa8hTPH*B(Gec0~{6pf%MU#Y0K# zNGfWGM;`av+bkK{Bu*CjoD*5jhxW)kTqKR)0llY1$6puQDi(vXo})I;2c3I=V@j zRxq3SOiFk!h*yK8_IVz9q|yPfQ6HTddX5w7tQhT~-XrPiT^n*he4Q|T-cgqAlPW_D z78&6~-GXUpE#X4;6cKHb1h`9s0yC6<_1hQgn-MdFY z3&mLs8SGWp9m(n>gR~thr=z~yo!cyv>F$e7mc;LE^Pi?EPTr+nIaBv5zff7`4aq4i z&rO(7a2~x`%A4o|)BgML!gN^g?fBUZKU?4mghZ(ql>ZQ2=`D2~IWi=&hTH;UwPo3H zmlRzX7Wd?&`ykO`r07tNu9a>D!7`kj#C`vL41=Ig@G4zs>GKzVKBtWG?z_7I!=`f_bceX4h8orb2%NTEV+w8X3 zdwTujaq;jwz7^X~bX>NHofwy2*h%MCu2df}pke5Y=S#?<&-BPkTXb~nM~*IS5+{Cv z3N$wvY9n`sMVKEBw|(c4D5FN4`;Df}+faC*tm|9VvQvVTAhvABI<26S@}lqTY))mM zm^eE{cp8~h#4MUkp6^98=0>;F8vosiK|{TV;J5rhp%LRaf7;!%#;CTLOx4yC8igbm zr5GGyxCg3q9(mVm{*^?&;{LLn{oNzWtHDVdi*1?PA~p2!gT)f71L9w$%4CDPP>P}$ zoY-Es8@n&oQ^RLMiprB1k9~WNqZ~MH%FTg|SawOmD#B7!Q~O`8TkKXm-W5;&tdAY# zQ(c=j!ce---zciS(u)7=HN@yRmn1zD0}K?Y;O0Zs&?-lftQGOtR^w0j5|r{6XM6>g z9ucNYLYIYuw}|Wvq!SY(FlACQyi)yLxl5=)>D7xR)WbFG7w2<7lgqanYqfYQ;$LYv zTuHEiNop2c#zL(ot$_j5#9o&JGdcW@<}T4yoW#XfOGRY)_uU)E&|?sm45rAEvBpRb z;0sK6X1vhf8n8P7KSH9zVifK?64Y=XM(uqpp?~yH;cSnplo1z929&8 z^Imrp!}$}k5+GoeQ&)f)bN#bSqU3{y1)B_nCiJJb8M(ayM4>>yYPs%@h_}0S80J=9 zg&qPL8%)3S@@v5^5Xa=BmC<4{NgFvn;1<>8A!*KZ`g=DO@}h@$DUOz(_^u)?iJyDH zThI{}crBC+SAitjF*)&|*AF`5ks1G6QkKcvgFR5i-xeC;a=Jc)@_{7Qz1|iR;_pc$B$FbUGA>X;nh9AC5U_!{ zQetb-@xZGbXo?+~6J>owK1GgXJcYHML0shp7}B+ZBPIc-5|oFp4dT(_Nqtk>@6q4# z)*sq=jzr$@%nq);vPl-jJ3j&9DnImC@+{XexRDd<`_bfK7(p>2r5al&lg|VR#lRu3 z!=9qX#zbhRDiF#uSzWf96b+{abLkkBquW-&L>5y{5+qQSRSPRaQFacd!tk89c()sNY@jUHZ&H_fnljt*7%CW(GP zs(}EETvwA&QVPVFT7gFK{$=^zEs?sh=_K)`<-!3sR{4*!H^p!k#m7X(on!Gp5Y{>B zVett0mr=lhb#&m-hhcCM3OQPaQ3b)W1WCYhboYv4fG(_h2*<*h+h#4_M#N7#lvCq* z2+t8WiPEr9C?0rne&3rWR0uy8dRj4BQ%Eig_{rAduO;%GQmTfML?FB^!ry#TiJ?SF z3JCo8xyPcjrYgVg*zav*wCVh;GUJG1JAb?>C-YhBo2dBW880GIOMta0dori02+;r+ z%~RFcZaH$JcWX94F22b(9O_oS4rWeO!T`P(e8hi9jN$$F9ZHCvGp6apx!nA29f&`2)%IUGB z1J5>{L`!dru6^k2!Fc;vPfxw4G5XfH?F@vv3(tHkVdb{pe#xbqtO@+`lc~-q@1q*> zh|ZDcQfOS)ifD$86MEWU;v+w9mog~wT2@`6RT)?xn~c0HYz#aO{Do-z57qu#K>yEX;DKN z?c@vvij{gE5OeDbjSbQL2ms z+EMeDoN~u`b*rZif3@TPcpG)A5z!ju1S3}At|o%A!!u)X3{_r@Bb1cIUw~A`L$~`7 z`IoR%xr=EQqiixnW?scVek%OLCmMUC$9SxDt5xR88%5ltof9YvV*(c^7YT(h+)qSn z49hl~C29;HjWh&E+8h`eCl9RX+dvC#u|(fF#yGFlZF!+;7z4=W6`DwUK4-yH40CF}Ox$}it$V$(nSPM0j0YWtyp$wke*me_js}||s zFOn(?BR8t5gOzW7;$2$#1D7gehZshYP+e{5FNBfPk{8JtSIfgYzy8jz4>L|``H~AJ zaLO?sBz@+za{i1v(rms>s)11rs3~a@%&#}Bkr}*u+T%(TBD@ox&WH#Qo=&w}`R;T8 z_rn*3zVxeavR2X_wyjcHiHGTTO}}eMeDdeO-TcO+VIgK~71x-Jp*{1`pb|wt^M7_p za9qOnMLA!#b3=uADSrwmMAj!D@-+tqH6ZsOd5emUYg0;#EzkT#ETTx|ZZ-5U4!lHl zMvFo!zJins-J`*`*WqTwnV;aCL>gsvLZgbs718M{elO-e8e}&x=aH>kQI^kSS#twH z#gGROv0$A96^DEj-4XPlJDXXZ@`rJt_$)U&ZYX$xLeew1XZK0s9A|{ufQ|=t7gr3* z^6*ygj_)wTs=R0A3GspSyy$f1>Oe`V5}--bJ1&6;Tqde2pbATt5PZ4;*WkcbQW|H#%1#by!JEdvZJoHBg+{mTKi*4roPms!4tzY7+fI zqSpyM$Zt|w;F5einUAkiiO}Msp0H5!oTRt#H7^2W@&E}~0>A7KH+3uqCEprdqVg$m z2(61F`IuxpsMH8>U#JuJsxw5>ND!|UN>6RMM}I-;-Nt4eS!fG6^|GDKMiyz?7F+N> z@V)q6X>wSiFrbmBo(g1`|Feu(oqq7<5q6Tw8xSk%6WX(RW86l za^pITkd7rY^PKk_wGP`@ZZFc@=Mj1mY)@w8LvHOE(6m^nE|{-YghqHk-ytYgFr7e~ z-UW9DRlK4OxaDihvEKCB;%3u=kD(XLh=P9p`>UynLb&fBm)u-^mf?MRlU0Fr(yJ^c z6;f{X849v{TxegOYS|p#@wzy#`vOw0QI*fGctD3opz?{|^4wsiIi^@hZ;fy94opzY z?m<;Du!fYUgoIAz_}snSt1ir`hL0apAFVZX=QA@#^J`TbB-3rgGP6wcq?K=lKYSew zt_ea)A>%8u*pF-`ic5RDyBEw8e|P}2Ty`|O5Bar$)Ub!nl(y{*+xRS>Z9jE}-h=L3 zkRBE94x;Gy)s%{#TfU_CG@@#5Oj~0k7yv^K6E8+5b-xHhDHj1;DD|LS!T19(Jgc6#>p2C7=^vCFm4K)~|j zBqy7jxQ&TP-;(aFS*WSneIrB_22oQx+P2L181eASKm;AyNQ2RwpIv=yI3Ep0N*F}f zzH!8PY(LVz$)McmjD5=n@_egtpX5S`s6Dy;fwOILq5)0)bFbBq?XGLJj0aeFAH4t` ztDtUea>Iax(kdW7C$YsXU)#T7Qo>~%Ox?Pdwvm6ryVc&VCp@)&{p^I55Uy8K%sdqY zl^#sPEXw3vm__NHTtCrBCleXV^kudyoZ9kxgOUxBKNBB#aY_AKG_e%<0X8WXU*v(< zQl7l~`-eaYWwLCtm7eW~jK|I!^1u_DOroH!kuOn>@KRXx_<7i~CA8Nlb5QN^?iN=C z4{M4yJU>EbLJG$1IWSu~4KY!{H$3zH3w9%P;T6d6OXjsoeZk(mAIz|<9`C9LkWQz{Dj()i0(p{Bon|-zA@MGb6$)FrgImbf_6J{i^{4XT z6;Yq=5MwTx^-d(H1}u4|IT`u0yl;I; zh5i|qTmFc@!fVb-2SoKCo$Frx?6f0q+rz}Jh(o}$JcpB`l63bOh7P`jYl{`o%PO7$Yj9a%_u#}`((PK{5yzk*})}1&e7$dtu$$?SX zc=BRR!au26)10W0V6GFiv6pG=5xuRtt$wS~5=Nltt{##PVXG)&KrlA-Mjn}uI#hWI z+`9@5DM=H9nE9$4) z&jm~R=@Nb0L(xVXVKU4%ADEZWz%Y5ynkM1MY4%7j_&OZ zR!CV{B1pi@Jp{=EqFODq(;@Z@2AY~zCPpt9X5;<-!n3C)XBOV(-LZ3^WnFGe>JE+I zPM0PK_%6G15z5DCdXp25i5qjeEsuH&;^@agCvr#WVs1M($&d>o$quioGsfuBPuXj& ztys;gfEUOHrJpY~wP_2!YsgX=@a0xZE$hTv4)nw?&tB1(4m4Z--LSJx<9Rre15zxV z7^V?JpEkpSBYmdk@Q-HO=uCbH3e2q0-9+}7M_rtN0#Tqu_Gl+i>ezq%>IH3{Rae0c zJM9;l1i;G5VsT-LlqXP&UCUbjoK0dUWo%w&g^^UgH@#_wyVlm$O3UzC5+{oC$Wlu?i!+m3+d7jlerKqv4zxKgp&{`s^Q z2GJHoi;~nUKRtTPRkTdPOvZ=+P^X@eL^2$T)N>EFCMd#e)w5mfg^o zMu`nDbn0rWEYNZwR-yN;dX#C+CO-;Tx~eu-yGc}IV5`OdsB-c#{kY zHUe`gyl0vod^670>|dRe0H;)`0iGsXGa?982fp*WADqWMIp)f#*Pa;R1MB>^sueQl zn^m)+@EOO!D8!M7KuiTBK59%9?QS}2P=w%pnQ=Aq^_iA zq&+!v=n)y8<)29CNXpPk1$J}@nJo*vWyc&Ts)|j;-gk}xp3~u>>t{L+8CoGcWn;k-?sDTT4#-d2_YY|sXet{*Q^^cU{~)Fg|ME+( z$l?a(#vbh2wCGfVFf5HK&4J}GVzmxjR#0WkJ`OvYQ`XVS)TxZ{nIfjdNLZtF(scZ# zB7f?+!^|Ky`orL>&NLb{5(crLZ28gk)!B_1K~efwRDg`K@}Ne8U|q5B{H&C!Z47Hto>OG#0S zvL9o?Yv1~YYyMp;ADzm4i&zwE-vN*b~!10&qlRd4fMnwZwhW$_(J}Y7r#B1OMWT)(En`1Ch>OwV| z;i?aW&niB=ZsBl@xN`XagTY+n!CMe7LwRTq5al@%XT~I*jo}Vjf)N&qh)j{Z;p+Qx zr6ZLk-mNAipk?w_!*23MuciuU$(%prsUZ#`D<>g?st=FZs?{MaQA~ikcG=PL&i;&n zfQYk<1vz22(zV?_V=APx30uAU;s9Ng)bOSfOvvxJN_i{_nrNyZj|0&l@_A)J{6D*}gZ>RaKs69g z(zl9g;N*)v2fg@xOS5%rt#LIS-%>JTa^s%#D#mCd?}^|_sxvsm4?mIbzsw}ONvWaa z;uT8hwD`4xBg+oHytwXD`;Ja(l<*F0a$|c*?P1Kw14G@8m#_E>v4yjw39Th3D3%W@ z9{q8{%1vHf0X*@;HrF)l-4 zOFg%%qoiIt&cWh9<-v)Ykr(9C%FG_y^+`v?c-Ngv)6GeWz6swf9InfK0g5ni7&hx&|lZ zgscq52315AofTBXUYTtxv}L|Ehb*{Nr|fU5I$iJ-I&N zS2Cx{In`>A>bH~41YlefriNI>sc7d}E;$wKZemBAr+)YN&I=@$iD|QrNZ8Nlpm0iQ zrxqBG{#DrCLB~I?F+rq!Q{+fU{0A_fvTKTD*J3mTBa4s8KIhG{*nQJ+Hne+KzfPT` zm_QFVAh2!$9M-$-5?6(M=~M*_baPG0s>;P(jh4Iz@zW;-92+q&({&j{eea1fc(@vh zf4Z;?{I$t5wpLR{h&{8c!UyS^iYPKhY@tia2(QT#i}!zit(1zE6NgCcp}T&#($(BI z$~x7!B@$$vDC^}<4{V_Phi=4$Qp4b6U^I_#!v1Y~Ojg3Bl{l~i{wdFt>9ke#sn=$A zNkdBxafv2S3bl1q?W(Axj#$*xbLaOABd8)O-mrvdC!-v^)s65ea^eis=qk3%^-hTk*h&PMWy}fo$=EU<8 z&qp3;3$T+MzI4cNTpoNW!%$CcBL?5Zi(k4tD~rdnGL-4(BpEff;(i?@)OzUbh&rzB z-FRC-3dN_2Pf3iO8@Id7t$j^Kjjp&hQiGvd7JV$S4^Nd`6W-j z`p8c=HDNZjDDHDG!CX{SO#a0G#m`l>+?luTOQY51tNyP>D&Wt^k?Lo`qoJ$Q)wqJH z{Cnxl-E_7a#IMa%6ZxgKM%d1^jOD_18u-ZnyXmQKIkq!4KU$t{E-S!#kYwP7pL~Q;vV4~;2I_aI=4+(Xdd%#HYg{L&FKW)& z`wnF?@aI1nJ+m0L#!tWMx3_yeqSr`u-T%X#&1aJ=mAX?5VD!$bBm=$~3mub*nJF`` z_k;6{)Fem;!Q#FDJ-mgDi37`0@OJSF3l=U1X;6{ml{)`~GafwZ^2NvH=jS^1oxO{_ zSZ~=pe-*w&`oVu_C4jJcfGk4S#@F(HKYw&qO6Yeo)9Z}H51EsHUn`_6TP_dU;#=xh zHcqW>>Mi=x2hERvv+ykq@qs8v#}_q+o8oHbg1mfKnXdluzw5u5(0KN}OEU2dt;^QM z2flm7!uTWq9W=&=uNY`RitOOo2WOvZT#e&4ANL^-6XcTY8t2M`IBB^$1a6ZjW!;tRBAfyA zkGTBthiClcd&%^KQ=5oGE><4!kfZgjJS8V~Gl^&|7Xc$)fSe?w<*DW%42b>Pmu6?B z=Ve~fa(Cm>`Oy~fk?Actk=e!Mb#x1|}I@=kaC0z=x%#|O|6QuK$xdA^736nkN_^j~K zLM;)@sU@r8=NeC4GJn#&D2s3MA{$#*$PsesI{8p@=~?5^D}ZQ??!Hb*@|M~q#2GiL zOx*HI$T1j?p6~U(iJE9|klN)d&18wsm-15@pHinKumIJ6QUY^l94%Jj7tTxdA?bn5 z+57DBY&`w+3C&XndYY*9c47LR@qvbU7xVPkz1gu3MoK7)uw}P5>XZU~+(dJuyUme5|zqmJXckVqfX@|uX zECeYpUK?DrD1P-n`s+CA7)EsNG>S~KbR&T4$b{M1`=|34%LxmDgQ=~GNPi$}p3KbS zEvv%p)XYj|Ai~JLE52^y=J|0{Oudx~G5f=OyQqG}H+L_2Qz_{O;5j6IEf=9QP@5hZ zSG&dGxRZ4+#2{&dC*W(jYsmwPmWBB|)qp4G)*mu$!4q<>?59(UL=a^XFKmolzGP)w znDQ3Jv*)d7Kpj8%Ggtg+48~RlF?Tq2a{H9ly@$MwUb&>Iks7mKJ+>^9 z_FTjx615ek8N%Ahq-+??adH5gPuWHr-0I+kWaaj49hpk4ewCR*7Z1OudAGq6eSAq8 z^O1eEF*p3S+PPTS&V))IAc-oU1(0Bh5W}w6Jrb|^m#%&U{Q|!B!yPw{DD1hi%9$5? z`u8r&45jV%#y@Oec_Xa+q;A<^MlU`2qPj`#P3BnFFqg7*UBfqrX(rfG6AaOXYM@;t z7Fcim@{OKO>W!dBl<@7t4H+4>ME?v<@*>{I{;&DRY1L z2s%}Mn~|}-e)^%aFD$u&4Sjm3*%p;`{*`khNA<}orD5f1Xw;o}o!{zL+lIe#_LWjK zw(*xA@&dc?NKQwAhFSCT^_GQX8A$+b>~X8^?%e%h_-e}(c;n1DDr$?)-w1!Ejo6h!uuG~3 zGu@L^L|JA&U5|-bq#S8^4exD{Olkg<$M1D;bwPR{krm2NX+C~zVnV6s92J|ED-dj( zKBGf-cx_YHe=*&iOLUaI`VCu+^?`%)GPGDtW><0tZtUFBiF!bqOUROktrJ7H1!x-r5@}L5(ft4S!k=g-bvhr+QCAeCjO?oo#!YuH`e(?m(6xe=N~;#Q~~@$=5F3iaY>HHx|T4KQ({D1!X5l z!1}8oIf*pSY7?!#4%)qaMQ-UoNjt+>cWsJqdLYWbm2E$vQGs@?os(tHO5!l}Qhj|= ze2vohz0imsKMY)H;gSTlVyi?V&_SB(B!7PHOUGOpqKM2U<$wn@V8n-~;|D(4nKzUU zXJD}q29nSS3{wf|88*5#+)#U$#u>E7l#^^IY120J#LH)1MM$srjrh2(Bu}DAgvcZ@ zqN{^vq(5LY4OR^Ooc$V_o9A4j6{c_e_`<^MxcasE>n4w`UcAqn9r$H@F{v5xE#nO+ zwjt@(-WF*-fP_%QP>FZ%YUtXAz)01KgA`2yNpWg|ij7SMOJl&z7{z?#ye6Jko8&D8 zA%2rr6x|X2>E+W;GW4E#Dn9{$?bsOaUh?MI+Zt|LTpuJUKc7sNqBT>Sys8~k|W z(_Sf~l`@ex*|&7-XAr5ncmC$s+8M1EXgUUaX$(JtxF6w#?s`h*sCLj5DQJgT0=D#QofJx30D-X-I(1ayyd6t0FOFX z)L04op7*D1Sc3`Ttl(+zXXU$Fq3Rnc_MNwD0ms;aEMSG zd9BCVS&9!BjeEbg?7Q)zi`wdU4^8hXqPA{q#+;2|O?m2e?Gc?SE8?J3mAkvA#lzC2 zBlwH8OF;KYDPzB>BN4IQ;u~MW;s+M~B6ct+M>za=rx=bRjASTpX{Czw4VkH7h-_`m zV{5*?gPhC10O#Cz8UqbF(7_71YnDwC#g@bFVvmNsk){P*tF>kTAxRL_NT?X1_@bnt zX?3zyp~?5(?fN?;eE~Vrrrj>f`2#9%kiD_S5(0hra_$QGKJg`SVM&UX%+(|}In7)V zC^4c_?u=dxv9YW2@pr78h$gdxZA>QyhN0zj>1nCJX1~ zeh|_kj_uJJOtC?+buO4lc#!IYf$uV-Rg>|anb9)hHOa+{@Qdu^&F5U3td*y(UWlTp zsw*1S7%+QM6^-!iO+V7cT2)f2nOzg2{vgDpp^>Skbx+cCi!v)^Y#?1m3E``3v&iuV z-e>bCp1Ke_WL8*n=1|?Bc6iU1L#vK*dZUk~A~{z|lN)&hmU8!xRdOU_g&?M(3r&e+ z&`sT4aF&8b--XEyAbJcv8ADI%IBJ-;eDcPD{GT)J+tJ>W5pC5}=L@$n3a#vZi`SCZYRALK%?WU3xA^v|Lhq#>s;M{G z?`K{o^H5#4DE&z9-{&?{BemhhM{X+^936z*%XoKK#j9KbG(L9n3#b3|pUHZD75Rtu zePqKUcLr(za{t<5Jba|ESgvb6&se50p)rXY!j!W=nuZFEdAlGk5OCuCPT$u`2f?i4 zXKri7uFlupz(_)$e`ZD@C3gbCVoAFoE>vQYY=v6gvpRQ&vw&2lPeO1G?(q(G!G}vy zdBMwh#n_xbXHKlY)`6VCcl)=*M_==%=Y;Z{8&}>t*WG>_Z1G$yqeLq)12QhqJIcr2 z-Hb8y_||zAi$Jxr-Q=Jw8FRY}Lw|EuLk*(~>BcHPY6aINyWVWs63ET7^=K(b9J>xL zkREen{Rs~#4pD4t4M{?N+mD>^n(Q=Ts_`qBc}#YYL9!m?4#{!td*AuaHM^x6=Ck3+ z3>)we2-Ja=c;xzJJ#4zDpe9yh78+G4BWAz`st+2~E>Aw?+1FIkOk=jxbK<2FVv+1z zhz6pdnWI>8Ob85g?0wzq=w!v9UL%!79-+4>1M?)xz_LM{ZJOU5dUQD;jGlxPhEnlWzpd{22<~hMIACC@Lm=n#6pfFI zC#lkBOJ|1v)wSx`($A9=$yjK2F1;HMY=E)d>|`v~+P6c@o&_^*l@PPp>24_76n<04 zL9OuTU;p@18}P}n!4~@5#$eQY9-7y3(5X=DkU@X}*h+1%U>HqgRJi#Zmb#5^jL^2W zI2Bu#eIQM7NmpyZE8!gil(l2=r$Xl8%0f;1Jf5Mc5o@79^f0oz;&j5G8+d0qah z(Aa!pGb1P_21}`9y6#?mfS1upo5Pn;funv*XI%q|o)ff6(dkC8Q067UEsc zsLS|tO}PvU!Xpv|2Jy*@?Zclo=h?@kft8(=#Nl&~$gx%JG0J_v;;vqulADEP?Os@`$z>vzB8TIh^Xu}dOTBk{?>?6QqU^nb zY;$XA=K{eu4&BQ_9!KMW|wNpX>ETe_ywP)(?Y&420H-+v7i2zPZYKt3Vd{;c=1C$k>oDhbF2VfRMS zbO6dj1Qil_iIJR>kVDdftc(m;*k2b?yJC4a05Kw;D&cE_I|C4%~*dsRFAi0<(N=^&@*}Tx)~`)hh2YmpR!Ka zO4>@Q2T|-Y#RJOWL6@BCnqbPX?OW22BmzEJcuBG*x93U%1{01~47E!h>r^dx zA5{jQ#Bb?t76zAAH8uc~3{+DZM~RAQK_pX1&@xH#_2Ng*T#azc59TeHg|p$OwnMXu zDaEGtsjvIp>rGk^286U_4!}{!XDw>G$|_$k8+I&Z>2p4K*PeUv44Y=t5_IcF$WlUa zfzZT~`Rw2;x6jQ*RWEcS$p?ec1Z@j#k>1dvX5*DhiI~VY{^6;Q zxgo$^>OKRj7O3d-yw(b;1aEpKZv-J#DAyKdR9bxi>b4@Qt5d=%tsJs;GRlCNgYDE@ z?UPFfyBMvm`m57VeN=4SNPwnItHw;nx^gs|f_&(&JuPm)dHgE;3_qE%n7DGvILeIm zhmF?3GA+h?r{4>=fHnWg+WKH~@>TLc#;$s4m7Ip=9@v0(d}7AMz7c1kX)ia*_8iN5{Yo_rf-$1!$7$79IrM&zat0-Xzw~Okii{P^P`bHz` z0mMaXt`yv)6NQ2LZ0>1q&^7wHcVY*8J+|?A6>VjcZ=Bx22K2^VKNi0CcjCSGFYKI^ zOrdy`SmC9H(I&SSz1}~hglIHlr)w!gQwq4KuJYeJ#}OJou|aw z9m$yY8Z<|w40#hthOt14i-2d{OCFG`Z*B!8hbZ5k7W#Bp(r1C&C|($h;zONHi{skA zdD+R6@1Fk+Z^PKW`+TUg%AFkBf@8bkGQz?e8h$48aYsSI(^Sx(b(DBjUS8Mdns6P%2!nGOP(R4l;1Ifn#Ir(ZezlCNUaJkgDjUTe-N&oyWpL z4T&Bw$bo4F>;>zSp>f2KAd*Q>yVi-{JEyY~SdUM@_1-quWcV7Ct3C>EWd-UPaUr1L z1;Bk3M1o9W?Q$S+?}(w5{C)uNeu=6_0*a8m^+ryA#qNFZ!lS=BDr?{ zLOE;687yp~yoPQy79lgYBB8J|*sBSLGzOjEKd!RvPn~%xVZI7GfA$52WW_7Y)WkDw zGqKRv`QiXpOe#w-!OIYfvtZ)vJJSWBuhNa$*%~%wc7JXDMZ$pnOHZ^Xh8%RkX;hT_ z%jLZS69spSfLUT(!wGW01<5~cF1!Uspt4vP>PEs*k_j_a_qi`=xB{|}2vGtn2x%pv zz5);j2?WWvrzKN?N{44&eC#%9sq<%!*0be2+IaX4dfA?-FJ;#0qO&220huHP90JoL zqwqTqitQ1l4z4=$$3KbLtwv|BvB>SXV&m9~#@f0f!!R9_7?rl})oCQ&IK01g1>+wD z1|xR445ROMq-r1A+}R!B90+GHhX*TFY-k~9dZka=7ykIiKNhgEE)}^Ph7yuO&{Aq+ z`p7j$u3>}q@$Y%XHyUSYX;>&V=Wm=+1Va-b47_1!`r+iBFLUbDlQPuXx1UI?%u#UJPlStos!82l`A5G0=ibb>H}9CxI$J+ z;5FE;;s?5x^_<6&^g5Weki`-*3TqeEF5HL7(WHbDTfk3D9&Z6?QnU+nLz5m2e>X>* zgUQ_+@_*ySwKFrj1jz3_<3w`+M)c5La|dTo;+ zhb$9PbW^&`^KSpkr)i`w}A6heM#w zv#6ZA&FgxNA6TNXdD$J1OQIA9B7mbto(^*{XrVj}1`s_e7FNaH`b8!9cUC@YvA1LW zlF8_AmvJVTHhFyvhxN_t{i*N1?Ga}33R>SYEllY8btD5=s!t)v*b*TD32!yj3Ek<} zSti@7qJ6n=g;i=onOTp|&J^TIN}!^adP=tCaRTPr2^F4Gdt?xE5PVPBxi@n?Y>Dgx zA5>{o6N4$L%H11VO4?U%-o8x^MJ$e@a6p_?3q4`%yr(O1ZBq|govd)I#=AZxG6e63 z-V6w%{|kCks!r%lQgX}XLD)QO&4-(uo@g43q-jrI$I=)Yv#0BF7dxCXrm+fUBTYt{ z!?AwCXN0LV*N}Z^%y?w!3^f0kfzaXy3ezMU)XCb|97G$edi%jA*z<>Ie&DsEnYlqa zu)cru%V%yON+}c@v{?A2^8!EZ!ZT!4m0f>&y~@Y=dEH%CaGK2X&1YTi?z1nq%>e#GTzWv%9%zbJLitdwDm~Q9a>X6zPMBwc-z565_O?NOG69o zw?FyqPip0vsqwBrjf(C$r@Cy#)8m`Is8!Kz&0BnW8%~B%znVR@V!#i;Q=$&q!IrIg z7;LTGT?kcD0G#y5sBRoj^bTYqJ#}W0bfKg@N;jjPD%6I@I=F%_Zvb}FU8gx(Bx>24 z4VA&t@NL1%Gi6tvn_Aqi{LsX}1#4;xZ%!*;rMPlIO0TL4eTEol6vcVMBj| za4y0rBt*6A_{8tZ`8TdxkP>&$mh#kP=)~%GRHo9Z|H)LVkgPIHHh<(--q03Z z_ew$v6A&6M05@v2#y7n$SlsM!2Y|D( z!K>)S8!uk=ArJQ51#9h3-X_yx^w5>DJH z7OfaI>WYPeViNrj&i8+$mBb7i|<7UAD-9&c`K6u2hHQ*pLuz@2CFDZ)w+MiCd>(#>8d7&0r4g zjW2SiVj3G0AFrbI_IaWW52;@}CT@Q*nm5YVi8nYqR!n-J=yIv$1AsjeKk&oClC5B6 zB$%le0yRq)#6mN5OQy6?dt_9*sG)GAbvqmW$iAnM-F%fl~ zeQ1GGQ`)eM^d!l8De@5pBjQKO(*fBR4?5vtlpym^kuDa-I(OwyB!27dl&%Gg>^b$V zj`+>rU(mE?^RCVyfU=2)ccSYmSt>&=zj>n$P?kcdkQQcJ2y{mf1Uo-?CiUNiNXh}$ zpze|r0i(BE#y^_l00)5T05nCP!KoGxoM7vEdy(gy+8Qm#a>?(m8loJ9gtE{ z?c{+(Fj?5^`~eK=;YHvvq~e?2wxm7QdR7}}(Ue~tv3QR3Qc5uV9eUOa7K6iI@=GN2 zH{&VGF|2|sW})(6f%G)yj-2_YGX(Rw1}OhXeB)J%e;G#)<}X+eJ}N^vh|}^GWyXgG zbP{2U3M{>*!d^$erFR%SfvJGhm`R~AWOiF|tJQ|JZ&~a;d0Z=dJy3Te25JG4MHyp- zx>F86+GP#=ELL>wRE?*^29OjLP2V%1Q5>tYo#}eVxx}{6m3W^K|`^FU^RSUH{BGJ~2Jn=LxZzOkKVJIHO7S9Sq z+|EanF^R7lZGW(h^WPtzf4vvqxMa@y3mC=i_uux2L7f_^`!bhkwY*qJ?(VZ5h!BU+ z86Ujm*YC7Cq3qILvbfrAUKi*8eo_8Pl8Suec#2`t9V*tCpq6V*rRfa)SI;#HO^TVC z!6)R3sQS&d+c8cr0FdOAsmr9}DKa9;otmZgc=*ub%I23aqiPHBFtU`{kf?TxB-(i~7LA#=r*1ey}la#rmO7z;`xc zf&^@*j_Tkco+O+@2@3$3#D?GHYO>R$yd z)2DnJjtLL`>HD9#Kbs6kU}DtJF}A6LBq`mm>|dPui`!Im5qcU6?D3YWp|B4Xr7ncs z0BtQSf3J(nzuH7(ali)0N4_62aaj6bwEwqmOC0v0+#gj(pST_SkUk_=Y08~9b@m|) zRCUHulqTUT-rw5Y8x5#%*;h?Ni-l&Rf{JRZ{8i;Y zI{p_`cg_G53(*mbS1WzN9=Ep&6LCVd1u{+%H#3)@WF}PQy&Vw!f`^Pn%&QJK<$rso z>k2!f!rv~OXKfNCfkr}e(_`pF7hfm5{$R-b8)*!X7He6%{=dA-?DIS;Fpu5 z_iKux?umj^_IC_4eq+ugB}f@!5+G7@^<`(#M!5KmX`0p6|*Zy&4GPel}O+U>UiYy4dsk?Gv*3yzqI1y zLIbHTTh|eF>4NDAB`j!H&q-`1F(dIee^qzHcrX0Kvgg#Ap;mj_zs#DgO`nLkk_%TE z80fvJxc4hf-3awQFua<(j3NIP#wR~_{@P7}G%^R0ZIcvmO||s1}P;#FPuGU=v zQJ6_zpAHfB8`)6pVS6tU$^e`xYDXd`R3sKCpTS<-U8tIS61N=4D7=c-cU^J^NYRlX z;z=icNMfynQ3>*TFtI?*=6^a?pObgdL44{XGcJyAe2-oc+k4x3;@TD7ib;DB+eqs? zPde&g80?auP))>@M8c9RNn%1(8D(XvcMxc3!Q=O|FIfw*$k0qyDC`8r0&iSQ!wHJy z)#VWz-i|PgM}9ni)=Xo?7tu=!L&<&6qZs?d8pt~bcNDA31pSF+f|yn|`7HG%zL6r| zbLif(qjr0RimoGS>tkWPmEw@+=O?uk>ZF;_cU}mVW&&s+lln&QWFlC3`G9jKYpj2z zij=xU8A}z0togGETW@F(Oe`yaPI@$?pHvLNjwmmGWY!MC%&NlBFU2sosBHX@-sEX>JL1) z`9b9OEHmFPGNm=1Q8rRWd41twQWzkhfY7hr5@*4ADQ6V~oyjofxInlX{%F>Z z_sx0mEH${{!a&P@$nEM5X(MIT7-+9u)ug$w6ALF@lG!KKl{5+pWV%(Ed?uY2uKHH0 zZCsKmzf1N+7h_~wD7-{ABe$u2JIzAyy%0Oo#RvGtQxCrI6 z-}5uCF-lW3hJ+hcRuWWdqEDXKk{QMBEj+K9l|>&p;4PjgXd&zu&ve~%0v8t`uKd|b zZp}X9hp%t}Z@4#_J3pS9n(=zCgf@qnvqU|ID^6aP{rNzJ1|vDP@u6Qf6t@WXM0V&S zRZQ#)n`m=_fjRi669EWze1XtxWeaf1Ga$cF?63 z;gfU)3d)s*Gs9#7-FWxy;kllF@2VBEzktx`Bnu{b z=_gly3~|}_dp*OpI31gup}EeNM965;da`_g=D3w=!azRy_?drt_r{YS9aSu)q**uu z#txzaC14pPmBwXN6Su^-e1b-12sXfCcX4lv&@+&j*HonTnlM+`=Z+y ziAH32OcgyQOZs9|bUw*+l9(LmPjzIf61Xw;zUrTwQO}-PG<8k9_wL@(WaU4@$JwX& z#rf@WD@KZ3n)qM$a%6I1TB^nwjh~tE^5oDbO#_o zoVRbBQAg$YeGjZ$8du&lyCJO%vBY~H^_Fn!Q9~E;yO>$O*6Yu;Z$T%)2ywrgM!Spd zy3T;57+1+Y*x139J1(u{0Xyf{?aSAT^yNRt(Vutx@ZNu#a{~u)x82#+9{=}OS2S{d zIf2F`KS5i3=+~JpcW?W!xJ=`$V5B?0ZS6J*VV#pt){25UwHXCAiG>f%&nU|i8CWik~E9GiFyjFWI1Muz)CabOCkbGBv?TERy;rhfz2+BW-n5 zDjks9+NxMl)B8lqytEQiS%_Ay`8kw~TS_R-G{Q$G7pBOy7DqsnEz#c9)9OX- z8%_#Y;Xuc|w`ST|xtmh$YM8R?qL+f|h2CJxnKB9vtW%^8{WuyUG?9^Dh^53>i zFfH%f1q)*t#ml%IHd-6k4a4Pu#pgY_4=+ zng)9wc(l0OV=hV2wxlT}049KO|4HAFU00MXP~qRqt_}QX+?&k)D*qC$!CFhCj?@r6H}5%k2$JHcN^L0kS|3Km zejkXCrhsp}(IU5bPu&n$K7kUXD&pL7`uH#R??c3Nv)A2bC=lCOxju78NwudQ+BpbI z^{PePt8}@1)h$+X(VMw-z$nWse(jyvaoG2!^UlFYD#$6#+AP;N9gZJ=p{rYnZKETtFo7Z`cMak?WISpJfZQnzi|>8<*MEuHo@SLvhE%?e=1*w_@Rhzs(Zs1y7GLd?|_K2Ywh`v*q0%fdK z9K)dXZx>V5)5&-TKVD@28NEk7xwI)I+)CD!2mDM^1<4szyE@##4kzQ*YLGH_H82KH z3mzaiya&F?bzvZ4688M(G5D@pYu`sSKmrOL|f{odkX zO}=HwCMQZ&bHRa~Z)PCq~es`}?X0KJ8k-q#-IB8{P|&h;wZ=Y&fH);bn5I@!O!2gld*})BZ!Yu z&(71Ku=aZ1z*wLS*s@c?-vILI#KBxA%VYH>3W#bS6f=XM!cR?^+eN~FWJIRvVwAxHv73NJC%U&+iKFJd1*u&fa#4_!F(Cu|(mG<#w6 z{6DPAwFvov_XJr`6M;VgDfDi);%w)YVuJ>zDlyP>>$*HjZe*K%EgVJ_49!Z;Vbn1 z_M$oQZC!I)8k`7D*dAx|sWo!1@V~lAJ+8F1bDL|!tk=uzBK0Z}0cSZ^bwr1pZDf)> z1|0PMnb&ox)nNWV;Im%+)4I2@bu5)BsG~{X0U{SDQZ~+zq&ZTE5B*JQ=`0UDHO^i# z?|iz%imQE^GvGr(DXW$wip;|$(tVliFOa&gsvdxf?T>L*FLo}QcLJK~A!Ir#UJ zg@q`#-}Hs=)D+M9RUtch<0Zl8JUIoinb~}X*R<#HM`r~fG9fpyEWaE{$|T*9dUC{y z!XlZ4L_Dxn^^;`|>bi_WW{M0KJl=%KHC?`BBB-`x?1<>Amz7X=%xyk1(z*|IigEr2 zrJj|<=4N|jO`gwC%(`Hc*`6bu3rW*VCoj$aggaE7WsNtg?m3*9b}Pue_Z?}&=pgfb zJHuO%{lC5kFS=B;Ds8#Uo3@0bx#Mbw=ht}ULY+G)N$P3ylkt_8o@Yi zO|U1#-uXsv5y+^WXaqGXU;3{HJk^JbR_d$!_q{6SQAG{Pw^jR&Rirr!>&o;C^QMTCTbQM{k``XOBxfu6;ELhM$Z@KYluOL?;Ljx!! zyH3o1b6!mYEci?mY);FR6vZE(Sy;#|;!2kUUzO?*QS_p0{-YnMES=aviu{ZoepfCm zkB&DqJ%V#66F&6^=&5~8hlMfZGHpFSnJvRM3My3*o=%6`e&PU05j{_ED1fP;OO=`Q zAp{WD?6sWe?PqdVv_~d=PZ^^){eB-3vuXf)qnjLtW|Qa3q@SaT7B^JA+_Ux*sGod; z-znT40~4Y?-{0HR+|8-hw43hZ%GH66%io^80{uu~Pi&X3STR|Ca{dzU9BNFOqhv%U zMrARBhXWbB!r*etDKTaAMPgTh*8^ElkcC8Mh`_5M~;+e@(otg^5CPG0U!K^ ziBCKEH;4f0Kx#ok+X2t7`5+v|-ai!zv$SHa41`?R6ArpsD()HH*|k;+UIZ{vH%6fv zn41GE$D4R!&-&6vPjo)fIndmmJ_^nU#%i`c-OvL!dmqSckIu=d6RW zw`9!Zse$0Mw`btz9I=0Pb7O18#dwc&KLFIe;w|4?omQtu4-(Yb%+nGTs~GuoRwj{J zmHKvQ)t^e~$Vio0S8Va2Z=s2gM%9#})VMKd=_*YKW%<=11=9$KoX&Wg;G8%O@M1uC zZ?T)xZW9he?d>`Eqj|pWi@h%umkT$bRmQY1uI%=@WoI7g%W3*xvsaa+|AMSnAtG(g zuAJNBr~Cz7Td}nK6wTe2H{1-`7?99kWD{%vaZHLwF*iy%2(U>nFXw|5!YIV9lnnH@ zzo5`&u+NLTvPJg_;$!}T;#wjq%lAF2;V+IS86fxNJxp9vJDZGkn1DBP_xOvni) ziW%pft*A7)vd|cR`4dZ9LjgvRtv(TPp2V9aCe~^^7dYz4S z<7tK|swKYr%K1yKa&_z;^~#imjf_y%AT*1hS-@b}3O_PgCqlA3Ph4%<1!4KGET25I zcZ@u?`gSSZ68^F-9&>0BhLc;ujW4%0zn+K{Y? z`_gTut`+{L0m?}}pGwCqW?ohpJWN~cu^G;DTlL51p8I2{3vvUIn-nFm#2^duBY`i+ zfs8-W_C?_fP<-C9sjR|XH??VM9r{C~(;IQ+;%j2g=9E`? z;f5D_vP9`Uv@=9$ z*}PST&zyZKB|HU&sVo~(u9Izt-We1^ARbxmFJ9G8l^re*!C4S8fSDs;H~Rj-a>nIz z4P}`m9HbO2rgrP5NF?;X0@MlBEyg83%e4#csARwHx1 zjmzBq)7v)yn~`BglL?vhWu7Cxni|kX>_Na_2__OD7z;(U&VWoL{?DeFlgE%+UhEToG1qWv@IO;LzR+%5a?B&{AEkwFk`PGR72M#O^JXRlt zt(rvx5o@CK5(;1dGjVB1LNRsn1B3@+oXHBh$|iLR9`!Z6*fF3fgk6hXe5eAgMGp<2 zt{umqwA58tpaM~?m0!Jz-2_7%29CHP?B80&C(faoCEupVh!P#W^5~TVd@+jAFu1F6 zB}kHG#x0wqZ1CzV1UrJ04_uvr!vOIEP5xS8hGRdqXhevEpQ4^`>6LRtzhf9!8%6Qa zm!eDFsbTNqYIMAsV}yb=Hk$WM+X5N5jbiGu?(V$vAKm~G5>O1)QGs>FPh}%J+iffcS%&c7XEl#6Sr0r>qpWz_@lV74QA&if&5A!cE=N9-o}w z*1|f$6HMdBzc6cMqLbIr2~7(YtQ8T`iL<Fb5^pB!1Pej8&>CM(O%ex(>8Fi_WOXkwg-HI)32MHA`gXv}LVa@QJ#9 zz!g|ZFR-R0Pq=8d&d`!MRLWOcxPT_2IQ#RC<+2ih#^YP&nJ1b=Q7?X_gvR~2_uQs) z9BD-69{A+q#gl9W{4MfgUtBX=mSthen2|l%r{}h5L? zB?uAkyeS0NOVPqylZ6yzC{7%+PPdj-EfPn*>mjmH6PF>E1R*L}qI)x|?oLO>6=Mvr~HERrJ;K zUUl!sG=t!VHbaeUP$HCL68sRe=3s$aMIG!}5X7H+rm1D)+YfpRHFfmt$YIhC8(Z5M zfSkOl;ra@PD#r=ap(uVK9YENL>Im`e_I1TbD<;=_s(#fb$ zD|O&Ca_jG{IYnhVNNsVm*5#B)J#5{+uW26_zT~t~k)sdP=s|e*tdW!ILU0jkzmj++ zjU>LIKom>{h?nJ9#cT{pvxc1ait4kPdvH=i`MMIxwGO*}_6MY!eQ7_e0t{coHsfX0 z$ivuA7d&=WXoR3Q-uvTgx-%xn_5f4ZpSLe)G#-qC8hf;kMu77iPkhHq$3OUFUs3i3 zj(TZgv23KiZpOmqP5E)vzkln0-nOkO`*&S?jy@qIfQ(?8qp+!p@im)CYKMrR%WOIl zk>8q3qEQ-eNzPejyzIUFj#o{`WIztM;g7@WFEZ1GDtG4Q|bPrfrgafj@aZ!pPe$^Ku+HlVyB~ww+CGS`A)V?b~`Zw0ns?(mcf2 zNkIVPc2vfFI;E9Q1`K%!SLf_x!JySHuA~BSS|A3xl>>+XLoXh_`SpueYfOZqqj|c3 zYas}#Y6PojA3yA&e#czyinuZ#K(4t--ZBn~e}45O4bcF+{Bn#k)$Q8B0iiYn%vgkXL zB~t>%Jyjkt+x(xRHSw8`W*g$lRq(iFmR}BP&PUMa`~`jzig2SY1O6A2@F1b%ryg8@ zs77he-mm3@ag}O{hoACR#S?S8TjKJZO+QSw{N2L8l4@8UUxqU6JgrcoBr=zaa2laU zUGz|ljw31){Uwr;KaDr$%f(_)9gY1@d8GYKgHc+H^>Qk}kc$6od-sxd zs}cv5*G7T>M`bd|uf|m7$B1WnDy^-j6)>^XA!sMW-a$PaGNON1C_*pp&INPhqu=rx zrztDWY>xbM;T#d+XoyFDxuh*-@&AplW3#()MU#F zncfGrOGX~M5)%!^V?V$K#yVzz;oAjbxt{n?%Iuyt)!&e9kG*{fD!y0G6Wu$~=o}c& zmfpdvfRbRu9ca(86>S;?2(IPzL)TUk^v&qa}bDy%?{$U|~AFLCAPU= zBLB!Ou{TjXFMed_J5~r4SAFF&5Viv@&0CN)^=Mqr60>#|zGl>dGjQpQ6_-m*f{1Gy z&XJMEQy!ROImS*vzF>tFV5wKBtE6@VoA~7`F}Xe{cJ?d{(9)z?+GSGGK-}Eld&al6 zzao48@D*w@_rtwYZSOhgl~l`-q4$*yIE!}ytCOg@moea2`@PgB(EnCXZQ2)D8u7|c zVC-|TSzI{(f6@T#0oHyL#KBj+tZ+(Ty-EtE9~Pnd+J}Gh;qZ&@28YXymMAD9Q>OuV z)4GlJ116RwpXLj(fJFImNLYYLz}U|J=)8Vlzuu8Uy|l z`6RhOJXl`4_0F&<2zxVs8n9$mTm2id6RZ_`VfatKpCv}UYukDfJ@2T_P@p#HCLbH>y;)U9m=741M_*Yt?ejQOlU6RbI0rS zL7mDbY@k{U{!}o2sHvt0%5M8#2b8u^6&JLL^-ACNnX?+VM=aNNE!|99Lc)q9c~jyA zBy>EyyQ^4^ieB)LSKe>5l^y?*nqYhT=}%>(nPCsVCuvs9=x`H9dK$(fnE!iA zvfV$o(I~CFbqj0or{5Et`@bgis~It+58Mz}?(59N)y+L0M^578d7EqT6Nl$&(cqGa zfBc%wE8=HP_$9fepfx`Fy5*O~uX((yW%5mLY3%StbmXn>>I^Y$l!a5X=x|;fzxVwM zgl{x+Ps`7^IbeKQu;*<*UnUE~w|?uI^ANyhs5SA_wnp-}C;#xQxORVgYi3Mx5^`lv zNn{`z;_qGF({_$DJb=+tpsq{bnG5bI?$(*eYyw&M*%w`~baqiu@=EGZCl2F6mC2p!|mjCg@Wq^d8SNgGlwUVAIU@R~jsr6z!^xZYc{1XoN;fE;z{jQg+zkn{A=BT@HfaBx&?jGTy zEGJHP3I!@zyb_tOGrW?t{2{Tbf(Q}{unG^PmdKS7&GDCayuO13`8N!rH(irE*MD7R zGW*sAzxNuYjLL*S3OsvGE}pn-eTSzVZ>JGT{rZn;->RD%_t_fCW9g6XVZ!Lt~0YV{ytGLw@5EO~Kn&6>1x)9&3 z7c7k*od`POgA?b@Op$PWsyjO050=L_&0U5%#@{rx#;e}6{AH=X>tp-56*J?b?`gc6 zwa}q*Y5{SRb?}R?d1CI0H(H9oeaZu{rta>h1f>(&$2k!$5`#{@Rf8m* z)2ZNThsv84xzaxIFv||+DCek(Qgst*&{Oux_6+fi-nFkhHUi4zpM|ebyRPzFQMoEo zo~g}i*#sf@@-dY0r-CULeFxD8)|EE_JazcuVY;zf6Rt7JpPF%dpDQ^z=h~!XnJHQm zq600r=j&pcoY^;y3#-E*DLuyx?zfc`H^qc;-@#zc3@vzT6&;^>I}Q4-E9b6`-}^nk zG5+ySS9DJ5uQfj9rRB0A2Iv2qnH8V$=B3+0Yo{LmZ5Y69ul4@s%%YJ&8M(W+%JPPt z0j*f@nhuq%@JSJc2JAX6Dzc)j{AH<7609i%rb|V+B%mp&1Omu_F6sN|TJVOHPOb}> zlcG>ny8L4KMeQS+b8xsFKjp+RSq26-@5pv)iNx|_fDooT`R4|M-CEX9Q;Vh+(c~>9 zHONLg%=WGZZB_WBx*MWNnodK|KJe{L!WEBCJ`Vv{xj#O1^}OOFo#D?oJahVu{qaP( zV@Z+rqDdnGsiDaIOUhNWokrA0Q+(>SnJX_9779aaQj69G6Jn~2S`qJXaE$#u38yW^ z;UGv}(`-=&JP_`)>OOZ%>ykL?Nr!1E!xM5CXmMHcD#yrm5{?4bPQ*e@B=&u0>0+x? zE!8Rv$ey)ER2V3>woE-(f+Cn|u`u!glY2g*eC7~(T_BC(Ti?5 zzcs$`kBethbd3#7W51O!aqk<06~o@RV#h1li<79>pmLxEagdb*LhKKm1%`@xzOky$ zd6*(6L`#Tc@lDTk_s~UrnaY5rct#*nLUZQm>IUZA1LES*6CwXw_yI1y1pT`S#2k5SHCt zFRPM-5ys~k-E~zU6N)%+h~L>`3S7lUc`X*>QcsjD4OfllWw*%TCDqS}!AqRS+!qgB z5tA3}6-r4XvDDlgWW@>y_qw@!O-J&1_8H|(ohqwyo5ztBbgtS9j*0tI>@v3cI z=_Qh5$}_==NS#NLv|=#w$jbw!>E1`u=gNvG14|U$v9F!1jWix~)xSulSSdTGR)KqK z3rq3o`&P8ZcYocR*WsvqDj-zd>0J*f4FRO zeB;>6MJq`ij0#CKnsU(2C?tvYJ$E==dk0;av{7nkbnm{LU!X{bp* zkawWvd57wU*cS^b1h57d{*nwBNDa@O@|N>V-R-0zrDrrAdHbr+_kx=NdfkMB6)uFe zs!xyx{Nnb!RG3yJ#%ISs$DqltiAwC5kWYODOqF*5U4hS=A1(vdivj zSR)gL__2C2|F_GRCiL+%Gk9^V$7dEBjUfVZ;K$W}UE1DeXdcM+c=uR4@s1bHeBT(@ z0woHzg#=9{Q_0knfXM&Q9N|3ip|!FjVjCHDYSr5K_$R#CX#U8B{4`{cVb_kDjH(PB z2d?(NP+^C8e@+V?4;=yD6l5A&2DTNW!Ege@dr!ibdd8%Rgd1A5&xhjkc;=O z&X%ZS&GyevS1g>Yj_028eCXm+3yN$Hv9lFf|LKQM!_zUU_NOxqtzO*s==?6ZI6J&F zl7qFj({`%eMCNT+H$Dmmqu<_yZU-%wR%@(Xmy&K#0Kt>3C+~KpgoDzdN~@wDS=F+R zGfbk3p)h9<8bG7XR}tzq{H^oH!q4GKc1cyAN}aE0&;VLrj6~F zN~@5SpC`d$XFS)SRi~-Ol z$Rhl(fm_JXZO-n*+yzL()1ET(tgvAB1@)~HIZphoQ;m1xC3;XTMMDuVw5#?7yMg#=7w;=>hfjA~CwsR;r?+ zg_V?)y6EGfp%dNmW@;QY_)r-m$OtBQReQDQu6)5SoLCyn$~EMO}vh z3i@PD;*#-raSGi%dxoD$=)t~&hcc6=s%sysQvh6u^!ijERL)JGOU;dZ1LjnsyW2&v zVeWB^;cIqT7~k15w{cU^5VIPsw}ldG1E9SKDsDvzC#32_xdY(j@G;g19(uFd%*!y= za{F=D%zffVAliw?_@h6cS6aq$} z>%qyc;FS(UvJ2^;wcoKe$vorTqvENbxXBlgq-?F21&C9ubQ8mCp;QEk31^?gZJpUY zTxg1u53OMkEh{hpxMO0Oxbk|xN0tKUdyVc}a6_O2I~X5o@#kg9Et+7WY~PU!U%YUG z54S^?1}6{h zopsP_42;r*rgfU@=XPXG6*O;Wm>Lz!ni)a_l*~(2v}E(i&{8A9yy84>i*Ko=a)#Dn z94(Mkft!|%(Bvu!U@>?M1W3$4voIJOjUWHZ0hlwJ7Ntc-S$UdsHpJnwz6P$qxOFKu za-y8`vV4#}i1reVV8XZIr)m?*P}H_XwuF|XAr06jIrZhn`I#YEB$h=qd|mv~yyXqv z+W#M2Alw3GAeAPnWFcZ>^XKLE88xXpN3P|~MuDXZfnM;AD@0H2MFz4KW7rO^&!bTD=1OfwBK zU;&-KVx0IbMHP*Z4r|y&s&O=++)pdhVsr1YuXU}vbwfrC>KuD}TqJ$5RC$Cn-78dq zA5wFEkw!Dbsjp$Fv#!{*&k5v6>Wgb0(`{0%nOq~p3vT%};W&k^kd#;bhH~NyJO*-8 zGuqS=o5{UTE~d`f`S;IX$PB^(iiVJF+>3=o@+Eb1%TDl$htrMoGL+!d$oDy#c3wR3 zSF`_FSYm9L2@`w0&mQSyc8~eSR-;$~p-0%&U&@w?8NcqpCT4g|(W$P|DsbH7>jcP;w z|6k{I^%@fvDK(9(Gb3~ooF!#K&>qzR)JurdGb~?7PAQ+iSWlyh zP9^QVRmpsb-a(ZQY7z-mNJ_LaOIaSIOVnt#`-qSh66z-2lKGwGqN`R4N&^guzHI-*#a;;$1cWhD=;*3!u zvWY>&YYQ{eB-m_mWV5Y1_Luiq1N!%rbZyV*}2n{ zjIne%-(!>;P@a}`0gpmq3#%U|dZ zWH`eCZ3sB5wi=75LX#LFXx0Qq!n1&rSENTw)URH%;WZly;4MCL^!N98T}-IT5MVc! z@@L}R@6ODQ{5Ej1gf#q-O8odQ{AG-f>hK0GnVN()_?mZbklxg$am89Nl_$n{+mDvF zw@UeBjFKUfago)<-=ihNk@zw-d&)R_c|artWAt932$*6GlL&bkr*fE`KPVph;yN39Xn7fg<8De;6js^j$hgHz;S{C=xb10uqtD)G{6fU-pwnzM9225K_ zp!%@{y)a$09IPn_qYy2c&!j*)wwKT^|;@rCy- zUvb4KE+-!Dq2=HXIJs*sWMkE=_A`#5Xl8826|^d2^HyE=kEcI(sj^kqVAY+ZQI52$TyF^sw`h6N2~ViVjD_OmxMox^4jPI;uw!S^#4x~rI(Nq^j!bWePK8N{|lA9eze_f zDHK1w=YiXE!ZuB(ZFuQ3hj-LqUws8wBoJEPF3Y0Dw%%= zKJSwYMFMd#n+rh^dR>l=%w?L(Fmd+%a>;Dr41M5LSJ&yTpe6p$R@xU^1)K4uETq$+ zk9e~?tcs3Ew2Xu#2^aqCj-mPQ%gwU{xn@2mUbRcWc3^#%;0h!jyaiXYWXhump$;bQ{c|rD zf8pq&2Cp&Ry|Gl-3XSM1je8v@@mVJzhLRC6*CaKCrDtjAMe%?P-rMCZwskNXg9c9u zinVP1-h9;6yz^mkq08Ok6@ksef0A~$-h2%V9 zpjUeNHZVXW5}TotI8%JF_gTL^)u&bLJ+Wkk$Q8|;qu*0cmM3&^W&4*g^RDy1xsVljyx3V;nR{H zj07rI(>>Y!uprYiVAPusk&47>$f)a{o%e@%ox9=v$UF|P&NOXgit6LwtsGeFYBxU2 zgtnW$vni0}8ZC3QzY_Oe-&0z_7X%BGgMtZ2XvRmEl%}ADROtg7*A$pfZ~^^*Wnf{Q z2?mr4K*>vrM(L#JB((UH(h_Wp^@haR$ag$^M`mT_x2-2zRdq7|(#%)(9y;U4|0B;` z3*$3qiulM|G9A4T;)BF2kx5v7@}=EBpH+St)2BwUR5fM1JL7GCT`FD1c8*Jo;f-<= zPdXtmqBiMVI?uc>lp9O!GP8-_FX1Z^uN9NFBqR4IVRMvEk*947cUFiD-S>1YPm@|A zZMb^BwV*e{)0PotJ8g^3WN5;gxR{o_frNaikzm=jhvga%uVVW$GRQ&$sn;muW0X!kAJJ!)iJ2r8$B<& z7{`9cA1P#s$vG>A9ekYd5-m8?+XQz42<^I)>uN!u;K}BNn((aLujE}Ky$tYeP==2& z5kF8`(;XoYjLKu_Lq;6YkTO5hkuHU!{a42$aU*;Dy>Pbcdl*l8DIH|uzUD=_SzsGJ zS4**n)-M71Vh+!(l*2E<5NJ;XXY+%jh+cd#(t^lrtwv{Dp{iQdT2N_>lg2|mk|M?g zrd5LtZ5d{P#WgUCV;j6SZ1jy0xe((9=bn}C+V52%-Tks%-h?P-eK&@CFGvluYS zINc$6X%t0TeGoi@|9JQbZw`bCoAWglp+T9#iF=<;ubo6_NYD_@vL-l-%A#gCJ7bzjU4 z(U6$h;=WyS%Ug-z%)vnYjX))O+g7KOfeTe(;>yHck*5zPQ%h>OQ@};e>Bqm7&(y#*BaKVMf ztZO0k72g;GtdDUs{al!trvuTs(AuL;v>AUUnLA2rRTc^nYaxEAEAc|46#Qa5G>dV5 z3bDbdoyeK7JN=HntQf>t0c4^iZI#iY+;3siTJ@p*HFn*duXC0E(i*JpEq3 zC57oIOl>$jR1ZJ!zylRN&K({Xbe>s>pvZwj1XiAbqWI-C6{Uj|$G0DB8xf;|rB`}< zG>KK<8SKEiej7VWfba;7D1uZD6!})O;o@9ZJ(L4(xNXC2gN@45sG)dul9P_bOR+wz zUyLH(KoO)y0HBC?iWH>L6gtztu3DSPjN3d}9blA=#IU!3G>l8q3NxDGE=OkjZOzz! zds;;n_a69IiC(YYN`G9iS|c3ukHp3zBeM*!$wy|?11Jg+Q(Ng$5^t|F-c_CHbB1h} zfKt*hW-~<^L5$#ctwtmyHO7QgP_L|qBwDo+rO7ge6Zt5}97_s_F|}rNECkw*Q>ELF zJu%aWOVm+rIv*2OD!5FdOOGFJh2~PsX-9+9!>%)O!9j>SxkhvzD(->HB9aiHFK)JOPMQ!Kt|K!ld#|{$qw^MS_e_aDs@EM#;Cc#^NOYF+LJwV*?oO+bIz4s9frh)pRXS{EzqvCE^a(o zQ>Z-do&mV5(4>JY9Zjt<6lp)Kg~E$weu^nx%K&|-9DYh;Umh-q8jvU_+#=*9KbX7UX$Z4Yj*DLr(RE!RT_7?}lyCkQwVjUoJwlEaL$F<46r0vOlryKr`S zc6lv#QJCZZAP2V32AGsZ3Wsf2N7!yV-Kd%-#D!2&FKsA1rnUwnV~-SgrvXp##yYXp zl370zWGD+fo6PFqPaH6Hw=ZqrD*8E|*>iM&lLb4QmmAeR?^@10Aow24G#S~POnA3Y zHAEL@@%YJs3(Xi(m*UP+R94}|8qNi78i9Qv3Lh(^g^=__kXaFKDuD-ZNl)1F<2Y1n z593T!z``tjA&WI0oMba6+@Fi*d>*Wlo)j{^sMdUuTAiDQp-8KzA=c*lucMf0<9|lu!HX_#VyX}2#*JJvEYbb4XBAhTA?p}fxOYGS5 z$H1RCjwgHvdc!@q5$FCmCjsH(T7Y2?fD_9e@AfO|6_kCvUXiH`aQ9jjc7@>5wys_& zb}w%RhOi*r3ss1zE{`)uwvv_b&t71-h6zHi)yT zxpr>$?(Xhc%7ma(YHRPMmuS{^%d=~>r=~>f)yLInx&caC5|(7TN*3tfrtQ>fSkyrR6YL6I#K}2LNi_dZ0nsFRCffj5j9IlZN(EP9u@yegXmx-o zs^0P2Z}MGfp2|REu>S%-i7BhP1*|7$Ye+mJR1#7&g;MyYq+6QIJ7n8pvveDR^!)@Y z+gtWEcA8H;l&i|v%BD|@3Ou!>ntVdE{R+tYv3CX80IX|_cZOPw6_~GY>#z2MSaH++ zi#^=cSzWu$%$y#3%6#W8XobX<^Z25`_D>s?dq{~gVT}l#<4{{?1Gr$qAS%k#UE(#F zSN@KJbU@J*lHtyPZhIQLFRSS*>J%_!*b77;8Z&$Yd}%W-oT?qD^8T}zSQ0ptq**Kr z7#I|nf{I(Z(36lU2t>lj=J4Y&;4kuI*YY_ zduM|U5rYt_^9oU&bHoAc&QX0P=jzHBI# z`WAu%d<#nYCAFi4kUC!K%FTHl`L;a-9YBHqO(%#*NmT>1mQsB9VNj4y_BZaBeIz|E z8`Tw-CQt!p*&Uf)i_p5aPI7+(`m4`?s0*pi{BVK>XCVTen;8hGaW>3qjPdFCX5)P! z-_TGGq%&i?IdkCMl?T#%3#vKRq~4asE{us(0s?_e&-9<9%V@@qvXqucaWk)3*Dz$G z9HiRLn+VPXPo+P0?Z@mig%)!xDtsyY%n3i1%M-#IQPTq%bLcMgJ8lW?(J6rizLQhY z2nfz>_K9jT!{7p6t}dOB0o~)TsZBx3hC6HfN&z79o%A!Ya_}^is6l$5m z(N>FVaP~s>5A^RmrgpEn-!xL!pM!FXHP03>o^74!!Bi%~FPvqV zPFgBl(Gy1nclO3}Ve+b(4O4z3teC?t$jujbf4PEr!2S*#YmKl=|3Hs#PB^}{78+XD z9}kt?cPy{#c$>6wE5;u!gizTt`UHm$>*rdS*u!n1AQ{ojJThp*Emp9$+9Qr{THd6r z)XUW|usD3R^h)CmCi{AFs0i|gjZ;x+prh6dsD%^tqLw0x8oR zU_4vAA%w=b*>q`TH|9Vw0XG0{m4dastj~Pv_U5WC;E+JWff*Nri~)X7DGrCItVRM5 zo8scoOfM7j;ijQRLlBV&Sw*j@Tb*AQ+p~Q~o z9;r14AI^k}6%uT|!N-$vX}%DRhYcJ^mGTSfX~Oz&fr*JT&2)3n--`<6SFlZI@IrR% zQ%IaWi94gxqcGrTD+Gj{6?MQPb^qx%nf&JyNVPK64jCN$lEJ8%dsHs6uI_uFLV^3l?5VUX& zXlJAGwoNQ>Zw??3qpnymciBi}5Zhz-qN7$^(HX{eT=wlUSZp(dFJD9vc*Unrsa97S%0n?=mqhAbxmAGix18V#IJKu68@BEyAa|TsvXPr06k+XV`0v{Y(Vtjf3#(6 zv0*rWgGuj`_CVo@|A1_+HGhrOEF|kwX+9$tXHRpbKn=}hQQ24ragq_D^JsXugaWG@ zNoNY~a~qZeR|2(0fx*>%3I~5Vg78lWu1|C%D~0#LQ74S-=e7@kbOW?5U7;6tt@Re?rICVHMpp9{84&%c^>&9ghe8L z4{#Ml5SKuC$d$3*I^O8i5hP%jf*xl|wx1#%E^Q3~eU!mhSCbjS?jvh+NeXBP#iw_o zshq$qafhDosYOsXFJO+w+J~u|$6HFU`)h#K0h)UA%ny+n%-i@D8Jp#r&(aJi!N;US zSEbG+z83n*OA8t#NmR`iBZ8?E(`1HR7^iJPgvGJ8+HKyBsk^&&{!^mP%$z!~&FJ?n zPuIZv%zIvc?N?0dRbJ3L|DDnfXax(o73BapF%S%1m<0wy6Go#R??y4DQ7okAMB`Te z4dJ7JE&gq9Vt|ZLCwyYqjCHrRHoV)$YDOu>NcD8!(I zuE;xKBUUu0Er&$UcK6_t!$JzB#1X8Lw4(swGx!CNmf!{d`!sTErvKmDPBy9!s$`|A zZ8xcpH9liDZb-gX;|F5u`cE7*^n4gQI~G|U=PV_1P8^7Ga*oW?9jk+QI&8;p)|=W2 z3%jGPA`P#=O{$_eI`1}Q_$(7-wm;^gkOD!q<9!kNEdlQEPM#H>rE>az(V4$m_IxB zP9HnJ#p28V{$v$wXWO|$wcWh7ZE@24__02SsCv(>%TKuo;X&gE(C)nah+tHJ=!K0{ zGr42_@VeRlwU!pD;RqQi12J{8cet~2xl%9!q_aeu+JPk|anl*?1vFUMcgh>T1O^Dq zsZ<100$QhB9K>mF#|aCpL-fOENvg19Obp{C*5U9Mqa{@wjKD5KzY}Zgcn=b}pk(Q!@Uyie&-jQP14@-p*AN z7e*Th;VI_=W4UJoOrg~7TWrOe0a&v`j|aI}0HwNd3T7Hwa9|SxLKdCkUvAKjV4`?v zOuhRbu;k|og=qqNKfAgWV6_P-am5Y;U*hdA8s4{_F-I4l);YZ zPq!rMfuy6V5XdTlQ%si?H|L;}N=3F%{1^l)K|D;sOX&n$ZH?KhR8fU#CPJkv5L1C% z-w0!Q3!pYiR}GIcQxe;BaJRvzbH}Fhumf_UhUCx9=o&~$HeMO~`TR(nX1z@P@VUP& zfNACg&O3`JDol*o0zIyEgmUqzU)hL}Sz-{_VoG3IN5~&xtC3Ui9v0=ov49`ps6X~y z^}0#F+#+kDA(#^gyx)wi%XD!y#M*Lr9Q>iJsuDw;oMxwk9HYVtYf2E`Oi>gG2@c7V zCF~gB7BSYCEF*O27s+C?Z6u3CgYgCgNLl`G^qWoUYu>o`mAT$O|6S5HsbUU7mBJKu zcnaC28;nDE38203C=*s4t)bq7glOl^M!eh#i@&9IB0tCxz=@%RaRB$ z2d{eStTz!WcKH0EC%L0{SFLHkdmv`+{*X*9v23S|_DW(E+0|niwMv%=vZROp`sHgK zYE5mib!e0413N9A@$=@cuMbd4rJVh+%^xaL1kR#DvoTU;bbCj4t;KPHbS|WK&&@Pd zZsjK_IrHf1%wclM?eHX{B zt?l>0g)B?Hc>Uqqm%_5NgT%%k26I77fE5mm^p+!+_CYg>8Hw5z5Krh7~W5#yS|ZnB}rz zZ8gV?1s%O@=b~9f7Wp=_;eqNrXJ|-Dy~P*3ReHMFoVaveo!N6r&|akclxu~~m(@CL`b9!{R}>fx)fR`?d2`gU97$65Cv zY|t2(5MRk^k8`p}1N`$SsU;Y9N07+g0D>zAGqkn8jXlv{`*t+G2am# z3AJajg($Nv3Ps?GMx^OWWWg-EexL!gEVi!gc?3rQrj|kt1!9@XeyQSSM=sv;sgCLx z{Dv9={9dY2iixTGZJKE-P5T0$GZEx)>ezGC? zDR1Yt!ACJKFZ=h#{$$Hv4nOrKnM6VX3E1ky)5lNY2XGvp&=c-+*uD7Fnh#V2Lb(ZT z!o{IrL$Cr>^OJQWuUh7qEiGJ>UFUiALC~9mRzh0ky$o{?m;zTN8A~HFh>N5 z9E}m(bW~bC5LQ@0IFMRUJsi;8Mh~HGZOs~cF4dHNxO%?XSn_I$dv@g7eKYgf4rl`; zO&~-A1Dt`MMEoH3*(s1bnWc#evuu2{F?A8WKu(2>X*e{S@u|jMyP9Ut2HOsx0_-8X zA<>I$Ew5^~*+nDUaez`N(kO2CFANyCPgHeNu2LJcc)F-?0=ypsB%4yRmq)Q{T#vxbI)&klD;p^ z@t@DN+9JA&@yz(Y<1lOWOt#7&7e(*R+yI%Q;!&|CD=orBTaK zz0xX#LeW9r2b~O12}mb~=521?R&Ir8E)*%JaD)+8gJF`l?7A(^y|_7}AHd>RsbamY z#c?QKuf9Gb{@DED`_aqDnhWue#N(Ei^5J0MM2;(v@OjOJ+N%di6k8~`7jVeptB+f( z-B(@V#_Q5BmhjVNZ_T=siW~2$ZSwszC}ZfxIRCJ%OXoQyUd4Pnw>bwmaQw`>>IXq8 zmLY}9;@8Ybs)G(HN2{?-!N%apB+4JoFc)klcRMWC^B`Ptn3*gKzWyy3YwaRA#AMSvuQ>rDfF=(8zk5)fc` zFv6ZgtWxfSWC7X_0vELqTSe|KY*6Y!L^EpKO=XrnpKibzA+P!B;~;E;&x{Cb_jtuk zwUrJ_GW*_ z_kLDgC8vJ(+Ef3`;#hIZ0xaWIp*=^B?P#Q?Jqs@ApyUU5S^LI;EC8c0!R%AVF6XAYHQ2+RSiQ&O_cacf-@Lz~*{C1J5;Y3CUmrRA+PMhg`W-~mKdMN) z-A2Bj5wUkGR0ZflUkZ&s3(9t7IY$bQ1aA7<3Rezn)VsnTq7|Qb;`b|_!#uOaOyAbF zkf?naKMU|RIf8@15a#@-BIw0urI6}o1&ITbtlMU%qU%+Sw%#@BbOf|7&b5ryv}TuR zrXZDoU(3;lkepbsbuVp~wtgUZ1B04AF?p0179V>gHqSRdy16%Hrmphh$vI48H57GI zdKWuYiS!%DbDGg9{1Bt(iNzj@iCjw9zzPSvY-h&R>$A0okC_0@;OaOX(P+pOgJH4! z`<>>uds{InNPJ_}z)UBGdImJTS&Nn=UObdl5MN_*>LlTfr#}1i(@!r;VXaMJ@-<^W zsOjm3SVh7oe@KCW=v~6}M{DK-OB`nUll={5t7>w%@^SP1gyga@TwWe)}D2kX6J$T!V_fA*9fmRl@pQ`QO zRtKM&-wK=Yc*iKc7ia;f;6qJa@~H*g`)yZmAiWt;?<~VkBE`w0(iSNO12P=c2y-<` z6^JwtN=>>$Axu2j8eV}s2KRI5%Htq8suPc2IJYo{=nG7P)ke%{`wp^Q zLXprad|O~Kunl?;DmiBB{CQ?`TN;-D-wB;glXun5FHSz#hV%KBd4;^mRN%>`(W#e@Qb_G<7nT4j!t&fL|*_`jRPG4Hpe7+ z@Ib=lOA?X270}9}j9qb%1XYysA%nY3vtV4W0 zk46E|Di)NCUxbRlcq-=zRT2Kv44X^ir|@Gb0ULk*o2Cvk{i%+{DMeA71>LA;+pr7j zQqM{pWBtn4zIJX<=MOv?ibmjUDY-R~AYqmHtvAdagd~glA#t?ntYt!Mh>}a1*5G+@ zg8_0)2t0{f|w74u9|K49!utfG342&r;Q3H==V{mo?`p)8w* z;xB&w_rJAhzj~r<8ICCPp^Mu3fSu-bAa<1{!Ux!;1Q1WoVW{E^V))c<8@$UXLVe% ztHvDxfLj{M5o#Ll2sH_SK(SR^Og zU`<=E`RYY#V4cIDN+6r8sbz5@7~!e69;<9S-n~q3rW49&XL@BM3 zK9p-v&tngywL?>|kr0=?6((K!Ai`O7-Z%DY-1s^<+bxa!L+r`waP+jH-yD8Z^56)B zvD8b*=2Hwo0%25WgwA5j@y~W;W!pevXIC=5|Es_H>iVG4HVX;*NGPp@!UtBjs}IVj zEq0&=X@iW6uyo5d>sZ2*Ik12nA+rxW`PT*MxwXVNdRpWd zU?;ZO`wUcf@)*KW*wzJGk=^DHdu9YEh61~`0@}SLQZrx8E#8GdX6dg!3EL+mL+HCyO**UYnJKkmKg(B#MNe>&FdqT7OxlIsupWb)dJiA~_UK(VB6xq>Bst{J91wl51asPRc z4TzI10-7bniD2)qD@KLW5h<4jBB!||%GTw4RQYBWgy=sJWP=TvlJ=~*E*|>ILM%BS zJdpbx*T>fx_54XSgAV1RJ2zj-yj2RI{I{%RqBS?4xE<{Q{o-Vww`O$;n9hsXT7TzB zosa@TcN(-Q1{dyGKg>OV13f|LH$IWUv=#a}V06S{Pg|g79LEfcDe#%N4}Sc^UIrJ9 zB{~nRLnB&~%VZfU#E~^z?8DOW6|B6)L|L1x2Fp?aTj*f;^i!)ls%jj zl~Yq^4B|C=HziLrr60F_*QEZb`dy}P`slye)$1y``F)3P`!~izT%7@`Nu2UoQUI_) zLxT}lu1nF2P#Tsv-UQ))WE=Y14wZ(iBOY$FS)VVKs=8iylQBejnUHEla!r(rMBimiEgb6>Goe zu{U1*yDfKZZ8+D8Si;n}B+kl^fa_TAjuT&3VlKZwQb`*M7V%^eOUxU5M!DGJIZ`xmf&xm(-v!V0oE z%ctW=HJSzVQ;9*~U;#=E_jcDIEGy30z;wBJdZw$#rqnxT%iJt7XeZ0#3ylO-A{}ku z%gcCxuB6dC&^MaxSDr+O7S@48)|AT!zF;8EW)2C!*0cl=uD5sIgFBb#~W04MWr zds@;a|EJo-j;?3zndQ^zD5sD%07%;Bdn1aWfutMipaV-dH}*!TjK_9)_pK^~U-42Y zU1sYw!d2i(DyvE%R$ESUPyr{$$IKxd$;fFTA5KHp9mw;LT>S1N#oK>E4a=~2fXgZJf5yA;Nn17Omcm39wMf@-CCQO?+Teq$;isP;6}*@aX2tqbm;x;ogvz63c1~*kKc0F1j_%}+ z0}?z3_Gk``$PHsCHQL-LS^-kbWONj{tTh0dbL)X0 z(9bj;0N?ESH55zazcErbw=gIKv{>cC`KI(PueDdPKqx|m7H>_VtQk?iFi!XXlwja)2_EOfv*W z)-Ic2H_+A!u>f#&OrsY5%&pJex_%fv30CzJ%o3_!**|>nR9zPG0C*ss6{D*b%29E+jYy(oTVj%>bMV zoM#c0EN*X0_2bZ&8JvzcnHRr4kTkbl-kaFDwkd8t@`F|m*I-OD7Of>;d<0TRdY|?lunEt4o4de&W z5X6b+vwN3YmbeI(_?d_i{&HhmU8QCoSv(wBPM-_XXAb*n+Lz)AWnC+>DI8_E@!s(Y zM;}{?dRXgl>Xz$R@z$?E$>j7Sg))L==72f{P5@$ZNH?Hmy)tU|{b9@cPJf^^w=@q= zfKe#?tcU^CVzVg;jF6}n6{W0eJb)g-wX+*>AQ3kl0N0Kn=bP74YJ=P~W9BSae+m;T zac#MFX2!3>O&DZ*-(wF;XUoWr?A_0S{k=g3m z>TCvHp-I4YPZ)D%-|;JZ>ovu14%Czgfn0GUXK7CB#N%Wllt`BvK0Uk-i)F;B;ouzm zi*(lpQ&hf{S(?IJi1ZFzs9dA4W*v}1hdg^C`UHkbg9u!9bGVYjHvcAeZObFS1lJ>^ zjGBhs4d7-)XW}!ZG5CM9p!f8OIe)O7g-Y|?bcWt9L}%G%e1UD{dheiz;#f#cBEo~7 zWHkYlOQd?M*(B$6q+kb|2=+BM8~x;>uA?NWYa0M+=xl&tLEab~Lkc)q;dHJGQePc5 zVwa%8de^cQUPn&ioSO!o!ofRuP*IxJuf@w4C0Y#P&_-QN(*>Zao`^j`!9Ff~T;!!` z>OK8Pq6uezUJ)fpt`yaP>Y6!Dp){yC8O-bj83!i^!~xJ*HtfFch-^JG-ImQ-RyzRO zkCpZ>l#2+g$L0vg;A5Oao> z(i|GCJeF;}94fn)r(VZVcxc^AGr{<2C;_}ggWb)9(6%AQebmSh-2jaKVqepkQh3`i z&e}fdj*R2@XMbBa<LBke!67$M6MEh-JsV zo!ut?P*rsszL+*I+>}xVtuB!UH$u~9invIvrfud|qz1_nk+;1$$ z`r6^vdFB&K2EzHn2mz4zsS$-IB2lP_d#V=1nJ0m}FpqD~a)~R@@MAW8!dq@`dfAIN zGKVZ;8I**k8?O*7GbfzW731nYi*J2wEBncqy{TkN@$jiDAut2;`MjC@*B0sug*U|K zqD!TKywYa$ot3dO{sCKB55e{JC6kO5#4TTwd_dRNEgmkdgGl)#-}MXnv{R1|in;$q zMK@XPVS11DrcRpi??QTRaV168Qe{U6=?U~h!DH;#%Mgp|cO@Ua5wpw?a$4|pIjZH8d(*!tVIf9jf_Qou@?bP=cx0{)oqaHDO%|h~Z$P|K`{oj|C z^NgLYMcM{*59vugfrx7a22V;ntY{5V+aq?w60=RDWgiM|)rY{%!waZwIcv#GR9EJ5N7q54M3ppfDIT+r`Q#K$IaU zul{@j{d!A9{8UTBA$puJAjX6b8(*LWbfYq6g2K;Nj*5gmzks%d-#o{A>*}C~v3Ax5 z6Li;-4;=V6Q>(LG%itGP>FnSD827-)vjdTXzEP%a*5V~t*lg^WKG@mjNrhTbs9(5B zIKhNinE|wq89b}DxhS`!dj0u!II>+Muss;7tEYSOJ;>a?i_JrY^XJD-#bMgNL5O{s zutl<-T}Q=Sz>9up!xNgM@P)VSb@*Kcqk{DqA4F_ST$Y46^sg)Mt z5PDg-`_*ViOQXTe+-h{Y0GS_#S&VPdTaZ(u{<*C$qlOf$vdKxLqG6gNC}Cze=@$og zdqdogUQewW^*i#<9=$GOD*_%$^yNAY-VV>&QDplON}0}BAAFSgCk`&4%kghk_nBLD zOO?6)Cs-`bf4Vd1c77?Eh6z|k;w9yY+SPl&vH`e1vMUTuzPX{}x% zU8FIPox<@UM7DWnOS%@|JH{yt(xd!DDM!6*wI@ZAp8(I?^99+voO1_ZSSb5O18Ep^ zFvzlcWoNj$TGI-1Pj&zs1W=DsaK^HRC>r4_AtYK~5Mbc5xc`jQ`9R={R{h!h<>IAO zMh^8asI@K)FGwZ=t8K-ZD@0=mJPs)=nr_GR&c=qe&9xFK&FYQNR|AFx6phvQZ+>&) z;$(ah{&3+c^`0+d7XuCEBI1)-#A!BOaoc<~&J?A*xOG%~Vwu3|lPBU0T7nBPA|lXb zgFhvL3yXWRO&_)AEuZnGu*~1o?B%fSJ9}0b71NvZ4=VN`E}602m~pr*1va*_A7#I+ z4^S=Mu-{zU>MPC-pjvRP#Sb$blswd$Z-2h82bVx#n<7p+%=M88?H?EtqnJ1zD!G{K za}qI-{K4AyhrRAa6tXDP8QgS=CIIW^evocsccz+)`Jy-AGo3!W;%rJk@Uy35{EJzP zWzcd$91=;SY5|H@C5qxOn{TaBjT#G(@S9Nh9*u#Z#OPi#Q@0&W_fYfI6F6n_VXw0W zQEM1XFn^a^wzS`Dr_ROf~*xBEZ-zt*tn$ZSS~XtXi1uS9fO< zKIYyV?>!l$ctaw<62I=OlR#{>I z@Kh_f@YkL9a=1Ye+AqrVoAkt*+CmAa<&?47M8Wn)94JyqeWt0?v#r%BN4$q0Uv!M{ zM1grg1=}*vh;2q2u=YFsZ&f((yZ>VE35(J;-BjoS(YW{T4bXJ>1ur&;Y-&{N3Iy$<`txa2bW-Ve-x?OI>ag=&^1W=rZ(t4Rowx^bLu1pfDeh64U6uiV5$j^`y7gcauSA_E$`LDt0&n{2smt)r3TA*t4vOz+}gSfJ9j%ACP&h5VxwLv z!ohvB*mU7%ydC=vG%f|Iv!#^?!+r@+Y01}{;MZF(3dJ$wKWOR0q>P>IX zlO>{1jVuK~2P?QoA4lP*Ux@l3G>tkSQZS|2rOoEicl3nCjbDjx!L0R>)&F7oyIVq& z``|k}&6O`EGiK@|GG-Q@+*WN4yqHg#_Kz=(9UVrB`dOn%A#IHMh+#zwov{@jHHwA+ z2)8F@tL89Eh?{o)E$m&Ugsg^lWLYtoMDvZ)AUp*-XfBNkqm(;34xNq{d7WvWf;#M$ zz+_@Qb7mk&wzJgOgj)CF{MKUOa<9WTU%9WRYR6~quG{g{*XwzB&k4gbpinJ9Da@W_ zZOS1d*m(Y>Iw4t8W^jM9so`4~RYBz>^~5dKl+)U=W%C`SqEJ_0h%8ru z4zBr@+4S*PxB2*uOA_%?9Ieu9wx7E&mscs={Ih6;@p6y>a81rtwT1jB#Y)^dqOEOK zrhNfjllCT^v<~CiA{ikH)_D%eq{Op0NJP}Xqxl*ufr*W>QIJH$BuTAoAvh1y7I{=U=c02~ zaK6SdNy3wJSq`oj$Q!0Dtg=1PvGWt{v1G(2Wijjqv#mXO8BBnP4&ck8CzlS?!ToTxW+$LbD09JS)d~vtP^?K>53v2PLPNAt#_<2aBTTM(iWd6n2$f%7;J9odGn~ThO8A8`brq-I;5?RXORYRPCt1`Wm`O+_YR~bZ7GD}YnHOqAKr6zCeQMOm%%_T?0LJm)y_llBkVmN1R;nXQrK(p{C-r(?UAW$l8}xH z{-~F^*JKhW+_UC*oM!Z)i~i|9rRFEd$=$N+cwWI^_fKJ=I{#qLPhuL;rOeYeRWze# z?EPhx0*L@13fgt`z1Ok5;;evBTrdAz(D)?4%7V}5qEYB_>OQbT4e@%f&%zB<#w!<@ z$2y^-6^mMNaypV+gX44tLLi~ALY9$`Un#F|sV&Ie+W$deeWFZ~<_n&b&#pDoFM8eW zo~?@(v}ge-3Z#pMq#-T}J*~Bz#PZnj>+$ZN?loYp(T0DN2&D1D z*H(@ei*3pJASN4Gd^Ze~)Co}%>#ljj?x|{__MJql5D6x2{gwN0s5PRg35$bww|p40 z;lObZSt)cFkpY$?I^D>ftqut(RpyN(q9P_mnTdoj)P&O8XbG@ZQV55Hq!#=Wa0PnB z4fQCBR9q44s2#FGBe3I#YY>+-GuRGv{Aucbq#qz6Vj;w1t6$qCIbtEH#fDcmygCTM z4r+pO3b8rduvB8C6Txyw!yVv=i;ZJ0BG&b~*2DBJdvtkijF>E}?isQIYw`!U8w8c_3bBGcB#BovBEexgj(I!nM z<<+k~1Zvh^4HT17VMo{4HBCW)wZyqCqbFG;^-~Z)lNB9Vv*%Myl{AlL6BW_7!jbz^iZ{j66$eXc27BeRM zwAk70GIQMknpN0WvE2r<$)X#9@Oxtp8x3w5IL;RnmPwlH#UrW_Op>Rt+YR{0Uh3%Z z(UkBG3Al50x35ln zXF_W1s?Oz;sA>GNd&X&J@erbDE)=37`~zx&t#cVFY=w6fdKc~4m*>f1dGc9*UUfTtK(<0phfD!^03{=icZ_=#;V-SSc+D;T6p9^b0!>-wWnw>$y`@-0QU;Db;T^UGVR-1mK_(A zyQ_k$p3->0fd0mY^m1+zf`!{{#kBq?W2gKFIpc$Ns%YwNr+2oPmNpbjHch;wQ0Pt? zp+KGgr_&nR5fA2wry?uvNnl^= zDgJUYa8I(!43mqKMJV5F=WX&4);Z55q@(oq{i9q?L=D4~a1M!|ihi+nEU#;Gjx$d|`1>JKU))^avA>ffCI341VKFU4;D}}F10^8$#bOL;19d4`t{02G^ zTmhmU28u-JT{rv;pR!?8yiH1RbX9}1z_yM?e64z-x2{|hlkj;00-LiZQc~Z!wT&xO42k!iZl~?(iR^SpO zUc>bG<Y8V#HAs;eMy0TfUD0G}cP)&WU;ek3 zYLu`o;YWzO*J|_V{ViQqp@~zI&#KCs>Hf;TK6ONF7S$A77!ldq>$7R6J#+f@j=mTz zaH6U&Z165I8$J}CX5@+Man;@?uw#=umRB43WcDSh9+NwNz2rV44|#2zjl0&f$^f?T z%m7T1FV~gXTdZwlm9}p_qS~@O0}^vu;So@vVn+!kGLbG=^YYiO%rmtUo30sz&6{E)TZWZptS3IOf)j1&s`{W(6yOILpAebH zbIA(yf$1a`k5$aZtJ9(6Qctz=!b470NfpkEM;@PmWrkwU%az6gCsC%9GKvTrT|!Bvl&<2Rx{5!Oj0i_`8mkr1<;(-muiQ2)9kxF z+v#?I$!H=HNEc@NL&Zkm(VF0_0`1<&i0z`HPQpzISD#zW)5o-SnY$kAjoH!T2v=NB zi48W~?3G;N3ZSCMyb<QbXu#Yd=% zkDWFDL%ylMtv18mtyOg@ONTjs5Ihd{fcU)faH1BSRoZL>+7yzDaxsYP!0v7;ByoJB zCAPR)Mw5_21lW4g-_U|-8J!QHMOGm1Ism~^_)X^E5hsr0=;RZS-0r);Z>j)YR~)+7FWgF~Uzyvx;A% zHbYrR(NH%9=%Cy53>NCi5(;ccM4HRCHs@D?fZ}uqzX(E5GVm3OhDg(Ps*qb5k!A@* z>xR46e~;szngJH%Duje3xSPR(OH=q|Tup1KEcGFRVri%vNT_rtwo2e6f8EZ0|6}M) zPxmDHu?ghRd$ElHm$PsUaRPB~u^G47WV)`;MB7zJ`O->+DH*ZV$QN@T6U!xV4UTT0 zNDIy2dF`D%vD|nFG1g-DBcwQec?}v(0?RRSmWL?;hc;uoEbJ#)oZ#Zkn>SaFL)$4N z0LEKd(VZ|9z zMM(PHGl*m(49gCJ=|6SLl_yrf8-Z4|WFE!iG}W$zG(Ht#^kcy=Z2sz=X)npG(HU%N z^=!8yLCkWYgPFPtnO&n%Bd;GgwgpUi0k%r)4#$N)v$mp3>s{Bjv;ddb_;p&saVl3W z>UC<@=4anw2Tymc7f5j;5C*xVw;&9J(paIeb`X}ouXdR8m>#8ue&mvKWwVEcqUA!)lN z>?@~SbZ}N8|5uLfnVVl)yT}QUD=7u?xUa%Y@A4YzCHYAU99A$$bLb+u)okqU94}5a z)*TJR@DQ#e?A_JDjZ$dl6OUhrman8jose6J=B+|;mNj2hAqHJUeEPavDv4P>KZCea zlQZMjphhH4ZzQk|cB5H#FSb6eKW0H_zsSl}B;bDl8 zH=c2B(yYEDE2&mIW-h`Fh-)n&4{Dv8lDMX4=M2;oAkgj&V7|t6@iBdlj7oWm6y08P$I_=7n|v8L!1}6I0ohEI50f0N_t3V zKSQ`|A~fdqX5S-rn)HNLHoEFbWZjCirM~V9{-o3FgDY!di&L8Sm;-FKmbN zYWyK%MAs-g4xtNR#mbzjkj267`RnXa!vW&BjGg>Wi})g!Wz7@_sKMZi7jz=7BLKaU zT~VO72Fcw+O2}O);5B)+=O;f{7f5Vdz!_#1EgrLY4Jx?v-QGP{w0XK5O)w~MqP-x3 zRDqWyheC^)TY<{z%umzpy_KjCEyyWtf4U*2kUR+2@3*d?BnGmEGK2Icc7g)emED24 z>|QC!FIAe!!~KZ`3KBgg$XN!U)hH#U%G7;)X>-F%|MdaXiJbOf3S5EhLf*ut)H=~lwL81F6(GcQ#MPS zfx_V5`gJeu`sDw`H8ogy_y7gI{r1*&v*xTI6_LwZgBsjH%yKJ&P7f zL5V~xr5eFJbz)*mn1@>Hdyj>?1a3WWl^y)}BKWE1&16U{8{~dR$w?Hq zM=k4xR0F8TZpuLR&E7v%G(j5+G%XH(9O^2-UInKs;a%-e5lg3SLPSQBwumFq$`35i zHWDHahl;3Pl{*6M;s0zrBT*`exf;hGvbCr+hl&sx(A5raPxmq%YSakt?Ih5vS>g}G98+-4>tYdo+ zV+8nt89di(jPVPH^ZsALUWb`5veu8C7-IVk@>YPGEi;Shi(`C#12i8#`wbw_u*O9< zK2k*9Kw`5OLDmFJ;demKZ|Icec_n!E%}ur0+)^RYulM7dSq2BDkSWVJi`t~O+AJAi!PDH^Jh z{`U~UW79D0^8cT)H-T@fIQNF<934qVvSc6GPQ2SAS+*t1i<}Jz2^l*M7)pp85};5L z8A_ooEeUJb-i#dw99A1crlk~=l(v)>aM}why#W;VvL?N>m$ocUpp@HE;@q~-vgP|f zbL0TM_x*kEB$kfOnKS!5+dK>Y^8I|E>hh&6)Dh=jk&o0(1g`ReCf${0KY&;Du}wJ3 zi0dQIFtvs)K)3T~p6b4!fyg9pYc&eb;E8}PCVY^?XN<%Kx~8?1c^%yr34BcW2R`3- zH^mzb)^CdMui0aaxM&9t3us4jzG~3p;qdMJu2ZYly}58fZnCFl7Rc4+n%}DP;jaZzA%zNcq8;<(YjMojXHsNFj;99|?KZ~P zdFhydxnJ1{kYUr=dh|0$N$q*Ynctewxls&ZcybscU_HMb?i?|aQ>*uWTT9*HiNxqv z>pSci$8ZH%_m58ALcRZdf&;$QFRO@I)JbRx$M--}dp_l#l=r=+7S#zqIIq2-n@|d1EqX*`C#ScNEXm#o0}8333(Q%$%z2?J z0CXs_42}qKbooS2DTH$aWisQT+-?$>?8`lB?<3)ga_DAF9;k;67#i|EJ%(&g4K6`z zVTE90;}3jfq@vi&;CK3|Za2Z{{-BqEg{AullCB09N2+*f8Mnbj%-nu^AlI`EUmTEdodFpspI7y&J}3QM}* zhHJAyTh&dR?n|L|JY>Y+Aw)Amg-4d!`?rR~JfY=I1i3KiNCqw_!JbrqYcTtnD+y7q zitw^%ogHyRflQ&5g$MXgDJ}#9mhndaEd&b@iuj8$d?nLRsn$O02~LQd?dmwe=r;)D zq;`GBQ=z6WF1Mn(xf;^wf(m0Tv9jI%0gIlT=$v7S0_ymYP%{W0<$SN$j#4Ag1`aR$ zg08SV=q+fBbNWfPI@uuX;h!|&_Ord&R(Gt2>Li9f7yXNM>8E&#?3LjKwtn_W%PqkU zaYa-Fm8Sd=H5e$&-cu#Qc)1;nQjjlhiuqsiJf!^9dT+Z(xicpLC+y{B>EY6A+KN8|3AfO z%?SZ936BF`h(i7+FI2!f5;Ji3&STsuWoDW#_IF_j;dI|+ zg+i`~uMacbx}TH)pw;m~voGZygzB+`hNAbSMuf`Y(9DKO8EXB+_#|Qo349OrYYMX) zt4EK0nm2Nn87+!KiL;#eL-I?c2MNO@!avV^wJI1xNxYDUCQH(~q-9a)oCLSl{qmQ! zqd7ler=lff#>@2TqT(~5kkAuWxP@`&C;$nWpco?c1u%4`6v}G#h>Qk-UJ)t$7m|AZ zxo8JW8I`!d)-^{Tk^+r@dGK*)OwGB4y++vuq+Vo8D@h^@HO`G5E2eRkJK1OlFO+Ip zg`j1)l^eRyTx6kRY%WQWB0{S6rQ&(Bkb6Vnz|4J*S9*Y~DiReHm^8h5F%E>R zxMP(_Kgh4HUhp;~_BBdWS7&XaOG;U&Q-8tBxq)!cCFlXq`=E}2qg9=<*otsN2lP1} zYifdz9~&r4y3ZJSxH^D|# zL*)=AgFvqp7^poU;%=GHw_NQlPjL5F;S;-kCTV(17Fvd7d*EI(0qH^M3{Jz!#IPu1 zH6mS|P~vqOBw7Lb90`4q?#oiSzX8b&Ei=Y+@|D4S<79yT;Yo%Kt#0?j>;hNKZK_9>kNMN%_03Ihz zia37z*8= z(kg*k1Rl*GHD++sE}=cR>jDnGvVhLE@s+Kn1GUTtYf{I-8VLE_arrDB0|8;OYKY`@ zlJ1v--as4DLLH=*3H}Y$8Jzm1(N(f)Z^(^XGt!g zu%)_dQ_T@BW0YwgEjCU)hs{{5sSDXHyeg>l-3I*s;|H=aXBbTdwzf4ol~I!o(}*y{ zo4D7E2ZaN<&TjNys5|zjs#O2};!sXJSaT+8E5LP7ykeyi6cV?&-Bdn0l+hVhak?gK_0_%@8e zcJ5CFRp@(ZTcyhbe)XGQ!x0{7DirIoW(HBJ9XA?KlA1_ZQA5{evyqu~hepsX+}ADb zR^#_usYw6G@Jz#{=9+ahkyh+;D%lZ|qeEi?ZwdTHS)hMVh$&~@QpOc=*iIjZddbgC zk!kl(4kj%4%sZhN0^Hjcb|^VFuq-z@)cOM9{i6X-wYPYno6H-FK@U~IS*zECP6TO} z7dvSx0JU(L-Rvh-p^lajG$#*Hp_XpqSue0l{_+rni?~NA4VzY&JYoEri}^4U`AX1J z*z(g?<#wznzDk=x{tDRRz_UhDjsDh&Yp;{@-Is;?1$wy9E$-f+4eq8M<}7eMl407^ zu7{h-OwQC%Z$So1Zc2R)i|xLQsol4ylf6DopwA}ywR)8r z{9-IoY&=Th?~QQ_i+p;vwy+1=)G8qu=t=&m3^3Y#mosCGot2JF;@$j`^otpcd1mad zIK|rWyXLS754UebhQKT2H-O^4pb;l7ju##=czO6&*vQe}8}*um_FzP0ac5G!_H}Lt zX6RWEY&(tec9*IJ74ouvASLQ@eIn{7)(q}u{mW)uua)g14tHlkVl*t{yl9A|w+S`< zo?)f>@iqbl;qauVAacSu{@wQ&BMyX86@v>^c>lmQ?B3W^5)|nL^*2CPIQ)=5&0@Jd zADi28m`adV5TuQTh%nOg0&+!l-BHn@GCwqH+XTv@KNqc-Be-OeK9E_^mkZ7TO95X= zYg^s$SHmBiM;2Th{1M2aYZ^N_Ehzk#0*I6C#?*8Cz}pb_7zADopbY%vMmKH)P+!>8 zQo;p2&w~-@M%Js;_yKEH?mG|g^A3U5zmS?k9Bej#X^LqA@ zX_YAikizUR5yJ=O%<*F9HMMa=Qj7El{BFk~A^i*(13z5N-Lx)1YFsuQL=XQ1Zf zZLJTfi;qtgtB22rVKbFyyB$~8(cabT?d`{*{%u+C6qQ2mqKy-W6uuolO`QW0XIKvGIcLqY(G z9sXOuVdVII(9A*W`_w^^=O3l}8XE^uo-q@(Y zOtTc)a;Y#})C{7V3&EJ7!kVTDx`3F6 zRmyqkj2p>7{CTzWLGs0TzEPx>tPjSBvjZ}^z-SAwX}543s$PUZ)Dty{Hq=L08tEng z+7#M%O%pZUYNHY5m@d~hG_Giv&@_s?6rGty2dxC?gDz5IQ-o@AuDjlE+dVR~-(W+4 zG-gH4`FHb3Q;Bi29N-j;;uAef>7y8?)|fUgy5#~vKC$PXGPSwRL!pf<5cXge(1d{6 zcDJW3Wmxj@%6G^*xRBhHYQoinH~nJzMUH3%XAr=%6YwJ$FM&N2K;8hBV?J?>rEPy| z3qUXXy(6BVEepe}F(nn5$DdRKcPy<^EvF!SSpFlkey*(r4fL22um<*llysf<;lqW9 z1-PeYGAvZIrm5M1*a#_Wq;-2kKhFpC5P%+nr*7tHaR}?S#4s2E112)cXvrf zj)0YKjBse41L*mVvyG95wx?M%x96vP6A0*|sv8LM{nQAlfh!}NM&3|sgAhsKAjYd6 z+h?|i`xqO>KO_kn2joPVhZ_PD4AvVv8VC&#ZiuPz$<`#+avSD$v}ee2VVlHT^eRzf zI4!^hbwp}_3DQxGm&6;jfH1P`V53phO?E%Ao!M0Zj{po_2moehCe$m)T(auJJc_(Sk!oS=^+yQPLoe zE+j!O(XA;($14)J1Au}6OR=T|?$^>5_LHI`Xk(kVhV{av;&6tw9cEV~Fpde-m&TZo%9IUUxudy+!&yyS2od%6Kc3bO{fN`Iv6(QZs zkbZFk#bfoUqaXDatJ~f|gz5ZCMj$BIDACl6OSS$t9<=m=h-El%b{!{C7d=6mX^k5C zXS``1|MUi3k>Qk}T!zf+FH+m1BokTvg`sbXgi0mV@duoxDeNzr|ajMeN7oLDZWP9eqwyffAVs6go7> zy3ZinprPsvW5?)(QwVq*kYI-qVl`Gwdy)Yf(lg^c)wQ#Ab}kbtg$NMn3tRNvSVG5u zQgdNkpzeOLp-4Hq>{3^M3%Y++@vwa8JuEfM839O~qRm#mW15=OKS%0{{4yrY*eg*L zwcUt;HjFRHu`WnLfj20jDUf+#MdHBm#k)=#WJ@`d71c0V9vE)~gzPm&y1qd&4pUTJ zm&kQJAVxGr_`H8qzi+AaA3>4nq~|ojR*XrE{-UU++2OBmSc+pvIYzniv_w7w2L;^( za08-qMSOwmHi#h+a^N~r#cE1pSTe}KO>2ytUJHoAT_8)$>1&S-jTTy8H41)bL(!nd zNOTktuKbVL#pqPeL%Y&80;qp%_M4VOX=-4L+3tPsFX2V5Em5(HBrMc|WT@|6+t$!R zbLLJIh$JNaK{qMUR6$rFSXSFz7YjyO_KaPKM~+Z?zUfKA$2P-%34jfF=e{SAg|75z ztb54~3D(14RdBQC0>}~r+;twgY)P_IxXySjG+>%SsDV+Vj04TN)e9z&$z;9w)G2@7 zd)9j&l16E@@rdd^-h=Z1we7J`6k?_Ve~kul{G;SjhiIF96OrD{5%AEzgqEi!5mCt) zP|$5q@P~5*t6kzuMfZ7&ewQGqAYqMUcQ{w#0LYGvP7Fyb5QA`S~v_unnA{ zGd+~LFqDVn%oM6iz&*D}`t|YR^Pm0`*^hFy_5MJmaw@EfS_IfDtO=AQsRSfl5(|>B ztF;v5iK*{$0!v7PH0qjMw4jy7NWX#8bP^vb@Eb>df|_rzF}EP6z_~?Nxo?6o^o7lS z%8EMi5MtfNmP$2oVQX0~YsX^1ln^tifj`+N5E`0iOaUZRc^&q~kY77gEOFc>;dO8Y zhz5JW^0p@>Gq^U9mq98SgHetRn<>E#MCT~cTT}B9|76&U_~}{3MgHX)0N335P4lnO zFm$(vqdDNWQ{J~3Geg7$_^}8IBU2b;A!|3N@#p-B z64NQt_3^A}?d~a>fvw&I))s+QnL$fvj7kyb@VdhwxzyRwoqo$Q*DRF)1@Q=hTTY=u z1hpH@JVa$KHc8^s?vsE1L*U)_^~NFND+IYaws=mpOJo)%zEChq8r}tOmisaG^GO zzp_gf=ADIZE~Q17QQgOOwiNf1FFNh~2!IbE*p3X;f}7fLYuhoo{wkbf6V(Y(%b&a{ z<-Bpk##wliR+PJ%aX~u<0P55)RTBPq;r8s$)!t03Od(oh5Kv_OqyCO2fWmX=ondW) zFX=6J*b3Xt0tohyz_qzVvMA{ib+KN-1Ss>NU|n;%n_i~3Xiw*d$cT$c{OH9ehG__w z#&pSa=O$b*oN0oP)KJ)4Pe2%Q&^$BbRd^;SgH95kR&K{@Az^xPEx@@y7WxA5Kwh7u z!lCeUTv0-u@PgOpiy||eMh`wxR0bZB=$T|mANmb2i{Sv6g)cp{zNwRR4Dqg;0A;c6 zG?WEC$Ng?x_t3L67kEqH^ywNS-YC#y1LgD~i$N<4Ib0Zv3woUyIpYuhm=-0$Jk*tQ zR@%a18%|q0c-J8-Qu2Bn0x1G3#cNH?qFd_R3lqVK6P~Pva+|cMZa@LEM-4t~fqV_P zHw25ikNQE`;g-pS`+z>tFxCsS1{`v>;j=`k9L7?was>c8bHxy7p1JTtH}VgN*&QnL zUMrL^RoAu4JH1QxtKYw)!7N#>Yzf8ymngZPP%{6&!CSC%dme}5?YDhav5OFZ*z}uf zprnb6x2#qiS959~=Gbj;VbIWgpU&$xp2;!Sm2)3uXC_Bqp(3)}sx-{p4gN4p=0U8M zk*0>43fN`7%vo!PKmLrlkslN73 zX;A&*K{M#Rt!)0XyJw*BwzHFMAq>IjE}uq9E}QSQ@}sRm0=6wm6g3laW`ZLiA>C^3 zz)!lv1>>5$C6yltr}+5k)72a;o19ERQiFU=9cXz=lfY&~o}q8kq%0>QP=8u?OD zqbhl`)vIR0SyU>(Y4M}qxfrKXIA$;=Tf>}zx+_h7K6(c=ay5`P+ln~@H4JLf*bG~Ii-2{xTJm0BSGD4+BmML)o6~X#(xjR z0TBNu#L6d**$9Ew@@3v;12drefqVwWh+|46UC-cU+_P}5{CsKa)^Kw)S6>~jH%WL% zYhgC_Sg~p!brA3t!}XsZH?47p9j?E8>eABsPC6@_Shcw}xBG}MBG42#$(3Zx7*=_+ z_feG{Zno5_PnIXW|G0Pm_Eq{_vjS>lfADpwXDVwEt#s>`Drc#u7nVa6jHHDpL{heT z^diJFDtW?>z)Ctf1Mat8OlYt6PvvmBNnJxO6-!evTc;w=EMA6&toI%}w;3hVpkvrkB(?&L z0vbV;atyi4cbjU@>6WJB1#X#KlW(M3*nLu4rJ9VE+PRIb9>no^vjKisnu5JmTesC0 zE9b^Qsn!rk<1HkK0&&i3A3IXSX##+T z$PrSWE|3Z(oNsu~0sGlu2P8z>kfy2iYao$AJ0tp}t&8?EhWz}{d-J|Uk&H9=E!mJ{ zPuBZ5K@23i=dj9<2RB@&j}g4)uwna>d2EKo_R%~kpGT1#C}T3_aI280d<3n5#HojvvYr*4LCLIGJpZx2y%abka3O|V;=uH4?I zJ4Fo;wk3BQV+Pn58$WXV$X;-E0p@FjHUm6ZHie^tKUx<M3nj z(=t)y74S0#PZc-_(XDd@)1uo?4E^;}mw$TSCxOqSUMU0-j801vZN|cgfSl<~ zZc@>UcxM6=O)4~ne&Rwnuw6vXLf$?!5PHCQQaWi0_c9|ZPiAG{xTbcHB}@zH%)-gW zZs&{_)I}p<#Q70+o!w`O4}Sg7)5p8;@kU7Mj#|JiQRo?|3FyS#uTfei(jj59a$fV1 zy??@e0uQ7+TAS!Zz@LKn0FXm6->^FmeMXG-5Za{A6++esdwr?*mmh;tew~akE7V4k4L*EwZ3%#F zOs&1A+N&;kubE`;mbz(Eyvr1&h#4tN$Pi+ zc*St(TClXCZB?6$;P76LsgX%gwFr3t>x-sNiFOE#CP#~8FZiv3Cdv>No{*ravh;+U zmH^IiSlHx^=4#Q6n`W;zXmj|G01Hi-ur^e8pFJzbdrRk-ro>qO)EA>kh5P?}ETvB0 z9$w&ct(;Y~(JY5x0n$jw(VsNEfw$3Kj=Uc7c9Wzd5od^KhpfT1b_va)Z9={_50ntw z2NeYdAVEvElHzA3D$|1Sd?btRkrQW050Rju^tyA5I`~E^sl->ql}h{;qGs6TWwZ2z##`G8wW#uv$Vd}Mb7h&t!;#ezA$0T8ruD+CoZ)+@va`X z8QdHq_!ujI9yH9d%J+>fwZ3c$Cck~Ks#iE{boQxSf&*?29n1PRhhxG*_i;Ei(vc>% z1P5hL^sG{#C~hE7IjN>k3`YS9$KMO2Ko&Qwz3Dq|&(KCYYL~Rt+k%}GSq3H0g8Cac zXd-ZQvYG0>JJm(&#BT#Pmm*3^)+OagzMDWpwP!;}10`M%tTNB)H{g3FZNem#EP`fsQ<-YOpy=O>ZfW zO!Pm8^Ndx9)_Y&*7=jUDb3+kiM9p(Yd7)N=yyRjjM%>>t;-D|lDsJjKM6^QeO}j>) zQwTO>yvKU8!aDb^g7U?TJzRq)%DLL}pofPNx{G)fEeapuka@PJnn^k;P8Gy*fQXvdqJgHDEqBDzat6LG$DDOv>S-yJHk5JF z$ihwuO8V+k11I%XsIfbZMW6Q%5-~g(mIm`m#}6Uzd2jg6*6zM)NPeEs=c}6SJO90A zsI%k`^K|#Z4C@C;^?!;xeG1O{%iucIS87qzYD$(V=nD)sPNm{OCno3@I}r-o(?@O5 zaI!&(z9skhKokQlsjlTleJL`pz^TERdgQd)R+`e@`;WUnXpG=21K_bo4g41*5t0e~V`2o)Wv|()O9tEo zp9E+c#UT`DceA@~c*23mGLLAg@n)R82^??qq9RNR-=YS#+a0REG18n{x3;1SjFhJa zvd;9vyS)ZLtx#Rx>rL2>A+9+0RQ{^A`&F6B8cRL!y~Ck-e5%iC1i+-exsz$2K@4rA zgxeg5K%$h$9i3$~7qeCR?gI3!6pSq;p~D;!NYHu}oB(i?n<$O`GfuLKFXO^3kpQq^ z!JP%iYjK)TlL>Lt4w8YiKMo^nM(ZM0dRiR{N1w<)(^*SRJu-j z^dS;&I;F}ta!Kd><3E)%`qb9>3sZ5JLDDXofy9F4-8TsmBN@}o^ER|K0Ew+cFo**V zheBbssLUqAYIQ7rA*fO*6Rr|ETZvxv7hc8N8I6u$AXQ1+dn_`FImQ3?;}RK%fBwB0 zlJv37XSJ)1PxQppGbh%Rf)Z%(FZz3V03{IEt+XU0z!;uX2*R=(sR%fb>m?6z?TKN= zT|f)Mn34du%*y*L%#%(QJ}E3GMdWJ^_h4Lc#*`NFD*mq8v)s`3NGEApbWb^rxpZH{ zr-nALZ1{O`MF@A_4VS$10T%QlYTNDgRqCg;OFH7@5C}Q3AlyWoL8rovOz|S7A_MhJ zs{S%xkzZI8oC<{Pm0koMfmA91Zv}anFLmp2K|><~CbzW43JJT>Kzyry@CPly(CU|w z58X`X4s_P8HsLftZF`T1Hs?{hz4w8CfwC}Zkkikkh-L@kvZ7G8|CJ7a7qGZ@LlG%| zwY6eA1fcl)pZ1%hKLE0_yRhJOOP;Ls03A065DZAnA|6JY^AlYP6=0%EO~+P4-?mfW z+U*anYkd5hu5fRlKGY7TIqr7Hg`^hbUWVH7^JEH~D(pwq^o_M0`ph~JBjWRD8a%38 zHfzSPZ$@sxy7flH9;B&{mPwn<0T5seRH4p!oShpQtHo&*cs-193QNN7JazfET%%SO zcEZvs1QK=Kd(BmzZs3g@=ED4ny!4U$2l@ua&0WOc#Axy9WN+4AVrDqvm3oWtG8L`! zfNX}VJll{N%H$)Q1AT`XvNH;2$Lc`VPN^di5(NoB@P`~ zn!Q6_pnWGE%i)T}CBzB%Z4xy9q1=IguKsII!M1AH3qx4>*V*xYircs_~E|Q1uo**a9_vZ(x>r4i?H|hJes!EO}Iv>gmZcArhb z?uyj$>znGKKpBu6-m?3j$-raiPmE@~>`P$aB|$z;?@`k8vhyicDFxdAzeX5mHu64G zW8S8gqA_Mb&C!Q!yHw;aHHiXCIYU?0L!p38g2~u*8PsVzj%oH6;RdH-Q7}On=sbf? zM3`f)?@81~6&B_gS5n`{hb5U^37QOq5tx~4LHAo~&ucuX-uZoVk=0{3pK6JPb)hZ7 zB2FF6E|g;QX0-_La57OI^=*h z$&20yDb+2|1h1Mt)|%}T`8)y}DLxXeF-=5XT9r)Du}OgTx(UasI3KBKgnI)kK~xh! z;^<8MoGzy8CFY*`Txcb^&^ea3z^GF~I}hVhx&I+;%}H+EK)P$ELH8M6fuZpbFQVBa)z>{WkjY%NoF_``k>!~T%U-03ADHdf<+^3xdT$k$7UGHh#!OkDhgRkyf2$tVkn;r}$gXPv>q_RtD@yw@uAE+GG5smv zkg`7BOt92j1c_bYE_`loDyoSSxEby>aB}j$k)9d=VKmAA9BF8%``)Vc2DKe#k3HRLuZs8#g9KY{v#x`-G1}{pbCeC}_n}j1XfLpvrZ_=M*V07aG9HA}|%y2iF zUMkhVQ{i|CsAVvXlAs*wsVhKS5Rxy>;(eUE@Qxm8#vFJzIvTD3k3YT~K@rDR*l|5n z62T+$8SwKnuob%`k6)C0__LUJ&r|X?#mlBvTdsICWOz+hvuscgVbdI;f$df3BY^FN zEQ&$PFf)(lvg}p2`p^R^4%T)w6dP9bRN{dDJ~LW6O66m>S}cn!WGK+aZnzATxLTq**fsWyP2(04=~lOx^w{eEo%8nWtvt zdE2r)hFsz$B+M~&Pw4-e#M85m`NmxaQE1omrH85MGd$HGX`c3MGE5ww{H?RXkLi=c zVIOHPk#R0Y0!hw?-2xOk_Cf%(_D^OYNJF6$rv*Kq@LG*!3j}9{Yxf$Tgj6T9Nez4> z-h$xsg{;IDk;y%wl(rW98172bBkWm>0@VpXiyqSjrDJUeF09f0R6rA{wtem*HTYO4 zO$ZEhJJ@@umX4pO-LfH+*=-Att%8o0jNx59hExcr4-h$OGKGj3Pybu^L;|jU69+!o z7g}d|IF1C@Z#9$Zyf1YIZ3_YoB$Mnk+p%qE=L!7L6?J*cEf+WhLmgyseCcGG>XDxM zW{{=A9dHrUQ^`!BK$f>>qXSssSfnkz03^hb%3(EqMW209s9d)XmE}7wAhDzv z(@_nF)Dvg=p&MZE0^r4veO82upHS4W2>_G|u&25-1BD^kE3w+*X-NQ9NYE^T0rcue zaF~K~EF^$l`kH=jdU_O1A<#?gTwKY@upg@Zx;%#mT(D~ymV`Jy6X8U|&@7=7B>2{C z^{J}{5tIH0FSM3!eaW&xMp>@DTLI=80@>Y{^vs)1R01^NXmD;cUdkow!E<&FS||i~ zeTd@_thOt`I7U3phN8I0Mv)^=3&zI=EFaxx25GCJoNi7dS_-9tpHw%8hX8%Ec)(F( zn4s@wW9vRF=*$!LYpP*RRSVf8q}8wf+R~tWbCZXwC;#YgX~PRKMnjc$X<1V6L$MO0 zELab&T)52z>Qmbu@FhaXcOeU~2#0xy8XProfP7S7R!)3afh~l1^nW%0V*cbg2NGK2 zZF`ln?u#YbIhv)x5;KNTI_e`8W(jc|u$9C^A~Ji~w*($=ePZOqnT3ZjLH%K4jOTVl zi@!q7#Vd%MSdN7oQm_2Ns3dOBHo8gm2={^$r@q=Y$L$No9JOMMV)&pds)!QjR5m4q z6V~6`iL=$Tuo=y(9V5ljpE-}hNN93C(6V@W8AzBT4?A4(o$0k@vd|OBgnk#LsCBt2 z*obW@kCXpDN9{h`JBwBlbrbGVA4xTpQtdk7i*AE@gczf7t{nZ$1KrG0Y9+z89?E71 z1p1F8$MhG2i(mM&Y;?wODn_pgN8j&O#qdv;a}rKv}Bs-$0{X7 z5+_Wr6f8&*Ym#9#y&sBeINTshHdtn?O8L}uuVpne0ViElsA-c52Z&Bxd@UO}NJNLzb>R6DP6`9G!tuLN~RR9N>VlAKe9IQg{K|JO>Sb z*vqvPa~Me&bU%L@4y@F!A4l3%wqYS~-OtR$xv}YrhtM?gqw%AtM>9@Q^>IyGKwWx; z375I#@I$J>ANi_?16WKvZBRY4rJ-oz;m2VVc!uAf;-v0y^JSKVRl4^HXv9@nRM{v_ zV@4U^$#frstozMH0^~pDHXim`tpA!1dU0HBPmid;%4O>PT*<2K7x#8WpIn90CT`LHa&T$5-ww)epcCySE{r+7)^uz(X_B$b-8($ds z9&E9nuwPZp*(Q>_6Xd8tL>U$RpkmO%7(=-#joPT`3H;2W$pk@|L~GCs+_I#GAh8%j z%FqlFBcA}@1K}k_o90o*U1r;D6XoO3YqH96llws065ME3w|}R?pWrkg2B;;Df&n++ z*$eY8;t;yB4XXh+ur+{ZIZ^XO3VZDsGI#(r)ZS*Rjub5<{$U`YfLyx*dOGCKGXK@2 zSH1kDMtzDui=2+Za`sVp=x?-akfpT8Xu0@XCF7`{fkDs+1wOeE-NF<89Dw18Z$^v33(0;ykeKR8)T zL{Y?OPlSd?j3o1>e$+QieP;r?xZecQ%3mD!hR{Hvb@Lg7Q3D-TwHNPW)$D0Vq;uCF z*0vJs{?dhKZC!i#twui>Uj%4{4HD^~fO8|ZNxQ*Ik!EeE$)LSYb%O530e5sr&IS#| zj>R96w9zc=edBjE@e+XWmE`cK`)(g0oAz*M8^#|zyqA0UOlU( zc_REoaAxOMVqC0t-7D~#?micw=Z5@PrFrpZJ*1dcB=7)P?DH%!NP1GNB2#a63A?dN z;jyqL{rR2#nqfd=7UpJX!(8Gti_~{Y%wqM0|EjG6(5a+}wV`@}Jgq5#lOZv3q=mvL z?Tp!&5G}U!~t&QJu zk99m2(BLQbeBf(|eyAO9!6ntb?;5^jxj;m>pKqehnI!q~HF9ZTZ_cbG<}oTc%*r6- z{)y34VvA9E=H%4|CY}G$2&wgZ?ar;iq6NA9`xX2Uem|&oKZK|jCz+md6HCCTE1qK* zTjwT^QkgH9(K&`L9944EihYXNMQ|(5#;_5)CGAu0G~p4;0OeQ$+PlORHkMj!*a57f z&B`o}o`BN0xU2GC@ck$1!w+g(Rl_rg%kaj2SdaDZIo{VuB6Eb}V#i z4a~#=#j%d{*1;a$5SK6!L=z)SbzRgPL**K~frX&ff&g%c0GOlW&TLVqj7R4Yk8q|I z95hLjpG zvubQZs=r|RgeL|Yzv;+cfoi8`$8zhxV}SsXM~IK~R#f-Ai||@I|L7E{KW{U_AWDqG zi|f?=S4JyTc3#D}BuQnk>j?GIThSH@_~9rgIbcky#v+her9mc-%@YL z90+jBgQ?u{xNojT%4yCxa?+nmKHKgW_!*)5Rt3=@7z_ zfgtW4kgsgldWOn8;!TDz6pWoa z2}wZ=Ms!j99(J)I2oV!Ik7LX3`k*FShOI{lR>yEkrfFXz2ZO{p=+NF3j|!TazO zEF&SK_ES&@Ewl^(pu_#&f+X6D9VAE4rTtwJF!UR799 zl9_TP;st^5s>`7&59r1}rA8j`b-}SS6h5cy*0M3Y=T1n0euWa_xVNc|XjLE33h6X@ zdflJRhP~gAkT_=1861mXp}^)k4QXgKO2J-;!yof+JZh?;wk;@ODq|Ywr9)W-lC{((R3)Zi6O3G6vN(MF8vBOoW@?z#I#i|n#o`nA-UR@V6`ZJFh?gS5PVEo~!B00i6Y zEO$9d$t-f?b#%WI1R~>yx@2<4KqPLInpcCRG2o(4s;#2ZySDiRb7&)t@131XS1j!} zfHk0ym!E&PG4c2JiQ%^jF?ikJ)HFHOd9Iz66e)Yo_(bSHiU9e*Wewn^Kg!XO18n=fGj0cj( zdX)SMR6b62!|`g)MIs}&L0%`}W;K)n#-&`Cm|XPew#Usd$F(i-fz+q=U*9-e?LOT) za^n0qAcI`AtQb;9L95YL-w38Ez<7`atO2Fe6Jl4BRyu&}S*w1Cah-ce>DX33ez74) zoxjS+IrG=lLY0308l0T^ir|k;9avioT=)f}4X25ifg&Z3TA=}ouT3&TQbT%)5)-(f z$ZJS)6w8~o0AQ2`-Pj6h35C-_UNjDgbG7&O@+vjy5Pkk?Fpee_sq|p+`6|20@aDvq z1J4=+z+nEprgF2IeA6jb@?>LHmlX8AaY#ytx^yx*!JWc=LAS6ckif@&5@;fUpI2?Z z=*!SyJ!YAm6K4n3nn0o;XW}Zewys8HzETV`a#+cTuYTsf4f{t)D+^rk_>fWIJsOv_ z>PBAI3KLyC5co|f$5cZ<4gzQWsOrcEzSssFyW$&xpgQ~4(2}k>vkr2rb=JB=G?~9m zPZF~U9#G;Alk|-b8lOJ8)=5ywk9<(mq=BjhJ^kAfjXAO2IvaGvlr9j)I_sYm*O^26 z^v?wi^kSYqkm$7@W<>>^jbsgnPld0dBPg9J^LVtnKF>k5>UYZyf)$OA)oxl`H-wSH ziM9=-aeZ-nPJE*bCnMY?P1Ksont=N51eEZxYAzv7`qnn7ixf2L`gA#giw&E`byaI- zt(i3dib34sALWd@?RFYi)`ZL9%pG{gX0K)F6h%|9h>(!k2BIMI;DYN^`t5dbc29s{ zmboK)Rr{5lLB%g>^{M{a#mi{vv;j7v{o*;^Mi4^PeB2ypfIH<;s3ihAg6{$q4H8PX zPixHJ{1v7sEhP^7GL!`4^kk=Bl;#HRsr;TcjT+L9Bh+t>NI=uX3rA1>7`+VvH1eAQ zmk30HPdDk3ag5&y`*!mDT)Am6D;;BS5KPRCJ}^IB>j`m{e)z~&K@4=wgL?qyQLpme zvj$QXhz|O5buIHXz%9%Tcgx1o0(g^!UzT+57T6M6?s>IsNnpRB8&wkfJdf(nQII3q7Roi`phh(p$_h~&B5(GdDRnhM}t+A+% zLy8;&ZU$RLUWZG-sfWwXfdF>74T0c^H1+RvEm70YZ1ibs4Rh=sRqv8ds|H30TsFC8%7&-b<|MA5ICn{&%vbpNb z#T|_c1V@2+{ON>%5r6`SA5{ML3!zXx!}IHBa{^IVU_8AGr2ot&3qUB=)3$8!^{C#8 zyqh5}MAsKfSoJS;m{3-w%BjgpWm!& zL}eCmM#!%&Pc93nSJN;j{>6sCVd}^KXe?4Ueqz*P5&21iG#;AQI?NVLyIrS9v;EMd zc2T|wMxu~jX4qdKO0bM#B_m94HG1^smW8z6S48bC%cPKtAeKtZ$@W4)kd{ta**YoL(K(1N^&ncSr< zpiNTByJ<(J9R$IBGj||M_Hoc&`Ggp}s8%CUnTa~D`bF)MlosV zF$&lTd8bY!p@23&x`us8v?QfrCU65u^c1p5QVQUJ0>a&wdi5cLLFOTtX^_t`4EeR{ z=B=%Ia^PdX$>WboT9Y8Rr~+Ejm}5#q-JDi1lB_lXsz%)VW1%)BynU&=z1Garb|IpY6RJXtbo4w6?Js<=>voTS{S+}O@ zNIDH+9^C3YE3VdilQv?Yun`SLhT)p9#zve6;=@5i7`UFu;0dX4d043YcaJjf2rFQ_ z02^X`b(2!u8k8>VkbF{tCKgvRUw*5 zJCfD|!n8z8O!TE!UFrk3VzA8**n+%zgt>6hoRoRrhW|e_H~nBaCy^Hb?6GHFt$y&h zC*EbzXzR4ez67gXfK}4^pN7b8h6EQgq?4IomPnT1kmSJp8n_S;?za1W+?m>e^=hfT z50$j##(q-ma!P#XIT)s=WGK@02uEk;PC!u zXpe9C4CUUhw-;Kt;oQQR5Vn`bbE?8ahvF~EspYg^$!7=!DoLfE=$w^@a{IF0mO_$&w;Vu#vb z=cdBR(@5@x1AAaf1x)!0*c?~s3W5){Cj(_=!kQofqW@lbsl_p9DmtA=c2ZgKb zrmD7+XRoKkl`4NOrv1LnerRp~!;Gg)cS1n1L-AU(j)X6xR5v!K975NT##o#)b#P%r z5}`WumbB4WG|9!44;*OwI{4LJ20og2>gE_$8Hm*NXBu_t_kVO8gz61=izqJ&LV~zy z$ajQV-dItjicSe6=LwFxdHk^~{@`C+Fsq0`9sI&9XXZKEHX9no;Mp93;a$CIoE-~j z8Y>0fG#T(_SVkp+{bek$<=C!jUDIKmx%{&MNayE0RTm><@iT;KaSnMILX@~Pt?)8> z9#`U$lk8U5x{&*CXDHKSY6TsOS*M;ej`0NDn)#&4a}K1)vsPCMcvyt9%VN$9n~*9C zN`%qXNR?nOC_AE6bD&kb;2u$R{|6!kv|W4_oK+#fnA-eQ^}GbZz!`i=RH+PLELYaE zl?8GOGcBQ-1ljJ9%UZ$?8w6$}Nd@+-8t*uA7BJKhW@T@N_Bh5(r#^`%DxT6<}bC=?K0dGA6|= zCTPqJEI*6`0wK>U*N%CWv)EHYG%SoM=lD7ZTWVol2el+PaqIo9NmELC$>J!15-il{ zOVEc-;|$}n9`fVNg+Dq5o{M)i_OVKK_dj z=MXhH4tA~~(I)KNEoc$$VPBO4C>ZPpHJm3G_eaeClO?Z1+ zzrz87E1=UY>W4)>K1Xm}2Aa)Tw9+15#}eKiL$_ z3%j{ihQ{ovz4vhJJ`fYweK-r2MAH5*oZ@edA>!(q)%3Rj5s%3=HWSy=1T@yqSRBRX zJsHVHQl z=MdXOu?Ihk7U%SVjl1XCHbiwkKisCo%A%Nh^&4$Lb?d&a)_Im`VI5FK)CEeSl=WcG zNsJ^Vcdg@sN7JEI>fXy3&xEF0Q+kVmB12 z^VT1TG=JL-ws8ybTo6$Of;8D0NE zTZ2m9i723%H=u`%bHpa>w`GfJ=5)c|Yatg9J;QE8&qy{adxM}+vD;eFCHtHHZ-QFD zreeV8Cm2mQHZP-+8PQ)vmhxQJU)nA*6@L@)Cq{RcwV-hzY7XtTq~2(ng*+*Tb3o8^ zUhU0FxAAFIh(x;!BZ?sgL&YWC${VN>8{r1fK`@E|fCT#dmiV56ZOqZbP*2oekWqV_ii&nfBGqeHzl(Wz3>h;DYQbeiMXha;OF zJ7xU6B}Q1hB?m>Ddr>~rSa9eJ^di(=U&%TEU8!A!;)OH~o{C&l)9liQFz8dKn^lR4 z#z$JzWc%WHu0IhxNlQCQjS*7ZCU2wxA{^jI=pnN=BrJ>oCBfj61m4R6w!Xp9=)p@D zZpgv2@&FjPZCI3l`mk#*pgIjc=C|uL_?gW9bHVD6Q=kD*2oz#}+Xg2(a86Jyg+M+e zk`5G-rGKkkUxlmP)x5ruXnlBjg{o4{sK`wpY-=@D_7Z=?#JUkubBA3xz{zEV3~V2# zcQ;VtnpMsr>Jyi;1K>(k?&`}_CAlT_{t7Az`gIv&cN2)K6pCptooWsC>duiq<#R2_|YYt zvCKaP|FMfUPU7wad30$8&&G!R+Ou^TG5VFJgb($bPax0f&^_zF%=7v#J-!BZA$h2R>Om?6qa(Lp^Qcx{E0HhH7ZE8gkq$fmg1P#&$<#^QE;x;RBHgFoKXZd!A1;0k?6iHXIqO_XRm2C&ss0 zO>rMCK&Gg@r@&FhHy#ZK)!Ku#-rUB3_cpTG9~SRCp=z>2EW4$qeQLS)6?9?f4g+RL z+Yq>R*=3Eh4X%d%%~!6DpI5U478YKy(t;-afsMs*nX)Q{b99Ut{$n*FQd^J%6zw|S z!Y0Au%Za{n_)o~Jg{}HzN6G6T?jaI*qURKM#*0v=KUP6*b&+bnUgK52=f>DHX1vATCXlx(I&366Ppbr@D-S9I4>i`|t8 zH{}+|!{`(d;4N_z*&a1}dFcpN=HM=z83LvRy{HM>_{F!P0x+ShutKLP{3vg0Z`-=C zWQVf<7K)>~XkE!lby1&*Bh;!6Q1QfOQ@Z9?YHu%Z@mX>MRw?|Fcu&Co!E#)jlWpxu zQ!P2Fqy;lY!BSk(QcM;3RcI4VOs{PWo*p!u0!Rd_w1{_9BxrGFQ8KMeT9Wi1eBCz3 ztWY1A$gzxB$n0**HtZYQv2G|r>aY7r9sK@Xl@Z&qIqZ|{ZNilB=h1^ zCxH6Pd`okXvrS;-ESU3&dbGPfrskYch4uD;(W*vTs@pvd$iM?$v(WTPHc#zU?LM$=mC$V78mUKot?~gS4I&cnv9Qr`7rmEluRl7xq(c zR&%2vw`<9nHyC7B^tqLGJxR%{)C32&1ecp-3ochvBB!W5VQ07OzeYxXbG@Gz@7A2?dx^Z*6UcUc|zx zYDH4&oX7+_v`ZmgM4JGM6U&nmRzAOYh*sU1SSAwx47Kb1Ky!yK7M`H|4$VHz zzxyVRfM~=eX-$DI1!PGcLfSw#2ST%L{g1Q2rOi%Q@PD`W-B!ah6O}~o(u~1<-8UFt!Kjglxmz)L4r8EQ! z37Flee?A5pi3-(|0bV`sGXvJl95gwME$X``>7)Q1`yG!pR{- zbjTz+`aA+k2o3$k^wEJs#VPncgdLq1K_vDWdm)W87Vt_qtDdWYC$ZZj{{k}aQnzp@ zSh;Js2ts{I68|ZxSDWS39}bxpmauwaa~#fpV)N(aPhi)iwCxw-( zRkV)QzSly4l2-#j2$WY!_JcOy1StSo6N_K1cG;%YPZ?kQ4ElzAQ_G)Ruhq?`1urJZ z=C>k>loE;dh;^z=EX zVJjJs49o~NC0xB+WmXh{>U0+o!JTjeMF&yc3hL&U>w~Pn0D3i6Ra_aGQ0lY){1szn^gHs1#p1U-rgM|i6KCXc=V2c26{}S)aeX{28n>B2kRDapj-mdu9*2d^CxJhAI zKrnaS>J@slfHW#0#UjY2y`Pw&VHLTlyg`jk%n7OfuLWYjv4}|$Q<-Puq1@Q!_A?4@ z(Ec!dSfZ4cyUE@5X^szym}z^Fx_-1hpgONjKwto3=9cm(NWmRr^^a)?l~Q+1)Q4#4 z&xTD=*GakjqbFCITSvP8Nb=FE7rE}pJR2ii?bsHSw5rD+EbTJ+8S22PzA6vLN?CtH zds+*^Rc0JIcPqY)gTl{%fZwyPsrES-A(8Yl$97)nz^5IXA0z!-O4tP+)A7;VJRF}C( z?)82|P$jPJ{9*ZJAb@NS*O6ILDlJ;9txvUCs(nq1 zUv*4+OG-xs3NIwh0TnRg`nR3r1NRakLK>iI&009y7teg*>zddx^Nxy)c{)wa!8A%ZVZ=u*$uSuYeToq9wHk7mFbkVQlW)gE&q7Sznh~ zU!!(3rU;U{`ltTfK=l9?q_cg_#}k#CaWphpbuly>+|tJg#&q~3+cyg5M-kvVLIo|= zYjgR3_nu{%P_NX^_&;~*f2J#ZysuMqTE1cHm4p?nel0BBBpN^AO z#^m8pI+_juB|TRY%&oh({cuukX(W6Wg}Cktl|9n(seQ8$tq!Z)Hpj4FJK8HJ`hNhS z{Kn#lk}FK$ZG@Rqncw>?wc;1YG!b(73bbeahfk{XuJYqy?^>A2!S_y`r`DN8%fcMX zh#EnYBNXq5r%Hgvt-fBGJdT?X8gB7bfqB9|iaVn+MMpH`(w~$gT-v((7JZ0Lk^!%h z)-fxIZfJxFTB(KL506+`wQWwM4T1y+9H12hwVU>8wfk+$kL^K=S0qyZUtM1U7)5#a zJ=gBcZZ_H79FUM}cC)+LB%6zbaLXhF2?`_;1hgm#hgd}k9Dmrxs^L+&Ve`AbNB(61v^RsY-E5 z6zPHu?@k(-41Me!tp0iKD8#cRliNhl$m z3L>eVN2@0h)rW{|6~{hdpJsN|zF(&37-LD!I{p5 zRmY}DcyR!cG%9`={3pSN4%W6H7cqemYKV1=b~>yz`|{w-0J@}!0UooazCJ2Xa)BoT zvXZ+mF$DN%PC2PtrD_x+>j~&){o9I3d3Lkh&Oib1Fi5IDEc*YJ!U7Tqh%-o9gvn2t z!PadV<-ThrV(l%Hk#!5>`?1Vv7`xHJ*GRk_>_6F6lFS#47om4CSo1a`#JWc#%m)R- z!M=+5LRQ5MDT|Q2`>i+0-aWA#A+1^Dlo$h59+$z)FD#PLh>w;1vKU_Up$af&%nN4n zSF)gzVc{Kvg}Cv@j>8zwO}T*Vz;-2z94DfNmO@7z3TkI9YW64ejBF|9vEB=&gG&TVGjUTVT5W}35h?X5AcI2nD=EG5h5ewonO6 z*ZO5Lbm-`?|3JyyAHluJ^n?E>@tI69sIXd+92sCB zt&i4T?5=z9ju-EsYMUk|-W%X{5$sxQa03hMb!2-KA}fA-NnrhZOVQ6~m|^>#!vLCr zycU`WwhwID?^2pI%(3w8s1wf3U?QVG2lfWBGD zhM?nDLN)D|!HzVGF$>5%2Eo&v(KR3e-?QxJdy3Gx|LFOdY}4fkUz7ziE=18!fu9<- z;vmO>Amh|fRvw1(w4r#QmH9vQW)l{}>}SnaDzJQqxVELsqiRIU7*&)jvS0qKJTQ#M zgw{=teO3FaGFjyurPOJNLbsbU=l~zp+~s*Ua2jbjFD_vhKa2Xwrv2#;%IJ=T$+Fds zjgl^d_ui`G2G% z{Xkg=u(wvE@pw*%Jv0-(h+my>)Uk@xR5x^RLQ32DKQs*jer`e{ICnx?j;)+#=6KI! zk-)v>uwgQz3fotbolmt(ZnnNZUB?<@9Ir4!fWs2^;j)HUrneREiP1I=FQ!+4|J zbpc}ZHWr>_-Mh|T%_^2VA}XKYiK&<39a0Re%>cS(!YVudqBqm85Q+?897VZV>)tTf z4`E$zf%7Vfe5&xnaRh5(ul}nbMD7GG#mz0y3Xq2jjiw2=<;X#J#}guGJ8+oxPo4g# zpdSWKPWjO@@vh^pNr%W}gC_EVq)@Ehv?&*rkcCN?B}tT)gCh=i#i|FSazvGnAeX$) zoe6~Ik20vsXC|hG+Po9FZ~P!fCD8m!XFiL60f`OY?m$5aX=mkx48dVs#7E~R=}2P| z2p^I5x2jKyskG~PtNFYEa-XW=es+AJ8ao>&XG$jbFLVCjaAG6yKRb0lIyChDJHy8Y z-atY0vEmXJT2zxx;4~E-5k11#Y?zn!fmjCXSydVX=oPX*QKH#47WT_^eiz-qW&J@a z`YBHdUn9D~M*F^Ji?2Z5@8<|+ zv7V>oT-F_^Ixk7n&BU{jq}l0-d8G~XZ|odLFMM@VS~lUW1B?Y7u@lii;+j;1H-ed4 z)f%?{rQCC<_XA2O`l2W?K|csf&-9tFHWS$FCLN`Xy*JrNVy}Eynn#d?L}kY9Y`NA1;(<> zFH6Hof}NRaDo_CWR~412hA9}bfhISpWqC=baT?G=5554u4_ORQtUvaSvG#wTM^7ts z+RMc%_C;;J3w96fx)Un;st*c~^i8kU;)~L27^f@g9)qK) zbn*%IwU`}-IO%ylX}w~?)z1!mQ&f}^<)$98EAWwk$kui40y3kQqY9@Dc+0C$(LW5s zYuLcYMQ~=b&P&F}SYvsy)7?zZ$B}Mg4&X|v5vR=8zxq*@-t0WY>Ti#P;E<$2hI0wf zquC3$Mk16*Mc3)=L^9Cl9Pqto!@t}6e(OQRPF`{NVA!E_eQ#CoGpybQVq zPTddO#VG)3ee~vJeBe`-tfVOPJJ6&qp8P%g)4lLXaEOh#-hpJS`AG#>fd8M+Pft4o zdovY#0tT|JQw>)6n7a^4spuO#i2I>f{cEdsTg`2VBgbbGk_FkXDrG`@3FPV+%Q%pB|jXaP%9l;RfNrD6h zzs_p~D$3u1zHss6Jcw>0+cAq_q9Rgp5uAA#XGUx;LS^;7uj5D}0nU6<#9w?7LA#N- zO%<7j$k5r9N*>?juKGhLOR1E+X@)_|r32S%o7*;R+{KNb<`X@80s7o_xK_*3*C^Qx2DBu#^spwQ+(E(iyO&9=3 z;q@i*O~@A5{(8v-dR8_~R*2h}@atJsXyZmElVf((^_&|x2&hQHYc9hOXKazsLTCXj zm`)V(7Uu#dL0Xh62zTj~;(C>{K?AIU3PdHP2{#D+8kgV?x8Ydc1W`}->VZly1_h&-1SZDHc+tV{IC+1-uAc{k&3sK%VYQ;ONCP(6qU9K~YW~(&?x08r^fad@;z3@p3fY0pu!;L^giK%!LRf4d z7Fvl56$RRRIK?yt&){b2engaOp}EhC+_j!z_5NyHfNBH9%d0B6=p|u<7lTK!t^s+_ z6{Jjok?03y?@dA=zLzK$DcUyWhM3;su4GMdaXa^8Nh&O(=fD9zn5*y(e5wOIHvm1D zc!iX3OW@NqT_++&`-fGN4s!UNB0m|$b^ERqH#h-bJwekc4Z=n&Cxq|QA8fEMm+#zS zkTfq|E@9unb|RAEF%~qD6mv;9>V!3VfF1uskPribj-xpx!$f_O?6NR>Xn7GvNIfGt z0JwHbZDRFL#V}+;NznxnJ4npjBq-P3%BRJ~NQB%D;|R(!>v+q@q7}OS?5wT zQSs=)o&88t0&!v_787>_$kwf*byV5J&F%lm6LMW$x7`IJLxd|6n?ZB#oZ@CmG24GDT?ikaXGVr2ScG{s0Sb%Gc~;TBf;WC@T7>Us;xxhZXED)m*h ziTDH?ccVgCgfSfbkC`0cwD+!*# zgl{L<$Yy+$R0`&M{O=-{W5}}Yc-(=4$4{N_ zGG$s$0TrY=*q#r4@D3GgNub^XpNaQa9lPfJFZBC+OZ9DUl|9e&<6<89Fe1$P^7N$DIVMeY@%{l=|BqwC309Ho|{7E#qc*(-2)w*(~($ku$d##*96O_d}IK zb9TD;x2Um8Y$hCKD*Fj01TlQxC>zbw_>3N&w}-xZGw^O^-`Jla$LFbwf#E~l>SwZl z*XD=V&)=ANvusx8(ZFi39ETg7^EL<-nd@YOVgX;{mDAks55*4}WM9h`QhiHY=sW@T zDeO6Hp+_j#aNFO@UIX2agjG@E3y7cCe&2kkV&UqWQ54}|I~p-9@B4Q%H5WO}pEKDRzsg00;ruMU&~Sp)3Y?s^2T{pJ0L8Mg>`q;VWSs!4V6<=X4Ha z@y;+cOj6)vi0dY{STIwDRJtMh1gxo?N(4`Qt?nkLF1lB2M<3vv^03zgPQf>pDRc@3 zK+MFf^nJ8w4K>oQFAl+hhtc9p{)KdttYkk*#%Q6}F!b$G9yUze06=!zX2faAoFyfK zG&V$MNxFc`#+0z%j!`_arzR7cRU{d`F_o5MqEM$aWv;V2V*S0q`7PLT-`);ZLAI?2X6i-dK_v}yS4=*k>ralIhG zbCVxs@1elS`8%>V4c1zs=2-gJS0ssIg2%A~$ji}D3aC+7|sjV?+8O`O;pIIkDP8A&VrL%~+Y1-6J z0i)TIv&Y%Q+_7%NRgi`XOL4~Bu$VlkI_aNN9P&y6+I7WY?HG8PlS^Y#0YTcVtz_zZ ztm4ON1AyM2?8zmzLAh%1wQLYe$dRC=c<9m4WHjN5?d<_pn^S^Lk@uE5+%)Py=d_Nr z`cQxn;c1E7bHUT;HFYGft6BcT1#VR`gpH%F=ztB2bg{!u#g*qr65IvSVRPaC6XlC{Y#}HZ#PC^;rk#qQi|Xts0Js%RvogD zp{f3yLqM6Hw@NY9K$Vx_l-(Gq_vG+dF8abwvyJY!OV*c+!! z{SGYs$b_5(O9vKQ&Dxst6X}rx$ey@+^UV?Od0_2AoWc`?2OH^~Z9L#|`5=%9L$?aI zVG;${gV2K2;!s%M+hU0I{?njKY3CjL!5hYmgOQR#zUQ9Q@X+HZ}LCn7Y&MN)@l#Jhya`S5nwpaK9d z;7UJ{drk>D$9Wx!HYj8Zt%7J$zBFt{sH&tgW7WpkqE0@l=uY~7a_Kl#`^4XpzPC+Cub?7C2y zGu;4!YyrZ+gvG`HW1?W;z!*{qz&J4n80|;;RRpBr0h}S0dfN%HsrR7})RB&byu66h zO^AmMZqHB5U~SKgm}U)rIQ}LHg>i7AY(uKJ`LR?>JZH05hPK)NL{)82q`L?{2RrUe zF615vzg78i^%~h@3epfD+mXhcT*`KhPTNoXCIc$lmntd_ZWw=vaca5hgeRTa3)Ny{ zk^KPQrdzGEv;A4yhH%)Wlj?4u4%TX4S3OhaMk>&_iN6He3<|<7{~&koI291gp4C=h zw)z1aUw`$l5N*W=+JovtaE=3n7EM`F+&BQQGW(7TqE-9))OE6E2p_BeQtSP!|0l&I zFu`%fz+{OOf+M1Zain*PKD~qU6R-!4tRAij6GK;&!>0DFI}9%I*ASw*x{KZbC=dZPDfQ@d1@ zO~j+iu8;7+ewvo5Q#)O)gBmjwIz_*L{YEbsDG0_A_yoOd5JFVgyfs-|K>?m(NXK)8 zqGKezn;jT|a57E!vR0FWkYV`mXDogV^xge?Jtps;Nd$*R&<3p-WPW4^l*g55Lu!q^ zyV{gt>Y*O*0wlHcRTo5@5^A7i15%=dsA31-_^U+`4+?6d=}rnPtHBrZi=Z!VNUi~P z!SL>q1;vFF_njK2!|D1h*ZCziU*T0<0SdrET% z;kmqX492@e(K>S1{DEO)Un?R?rStWZvytF{qW^=zuxgUmVge?1-Cn&G`OBs)9q^hk zUOV7h{4Q3cU_Ujh_0Dpn+yD~)0Mk0KZ#wV+M1n&jl?cx|YvX>a+KJdYYtASNyFz#< z4j;O)A8lxt9lBz|Lj?^X3Oy$^F0fA8(Bs7|gB*f*mRHM=2lM9c-7AfXlb5#Lq8`PmQ>e8I;px;qcVR*E9j?C`5IS<)> z{}WPG+>HnOxJ2=B1*SD#ZQ6T_cvhbt-8;4%e)LVg{{q#R^BXLd1?Ita%JK#Z>FWls&v=kn$ZSMk1%>9u zqc3Pg6+|8X3=UKJ91-|=n1AQ?mI zZ=ouYmOlYiuP4>sgtY#fKnRObNE) zQa!RjhQ|Loh%MsKgTS*WoTEYz&Ydq?+htcA9~;=*6h6dT0f$iiM~(t)qA*%;`hBCnz9pr}*0vEgX_m9_Ce10cFGA&|FxdTVe9LytFltj) z*OTinj9n3U2*}06`$i$bnm49GOM!dPFYslT<8ne`t~jUB@Y0oatQ9i@;~Jk`vL-Z6SChyl5`+g ze%uq>5uVa0dyEbIBTl)j=jDr_PMwpdFy)ORE*^dlWhXHv^lxwrYDktLv_|SaSb7+1 zbzoWwsGg^s>A16avs_O_$BOmTgi9i_U+*v@lPadfmq00NGT^PGKE#D?9bmeSxGH4Q zeI*&}y=BQ`*tM}d?i5{$P)&LLRnQz+?X2YDv~EGfl{h?gxQ?<(Wy073R|^!H;;(LX zHlg(aP0+L|LzmRpjDfj+AqCOdvqOo0FPt*#wR)+^on4PWOC0(y$sO5_%JAq>I??~r zyk|-;O_KbZPf|GJ;g8inG*xQP?}F>Wgm+KqwnTjbj1^EK73N9f2cT@X`U(|Oc=j7> zmDlwF*KB)P@9~Uh*|%FulDlTzs(Wnn9=CMrbtqdX_68g}!KK!Qi@`p7vLw^`vDzBr z(Uns745OVd0F6no?3iLG0^BK!L^P-OB)!5xlaKPPDeFYvhN$sX`@hAX+203vkVpga z#13~fn9RR3c_a&b=uNW*>t|R%4~+b?F!Jv@F*%rEQY}$IU%>inbBa{b{Qw@TbMypJ zvf8TTIU+mvqI^s{CuIwVhwzEezvnlZ5!Dnrfc;LZHLV^pQws@$*z!A+cnbzIN1dNXEUE>llMRW&q} zFeCnlp`}q7(}AtdxB?vxsQwGlZ`#SvSe7Ad#ZQxZ{f2#)yUh?b*GO!xX!SK+f~XZS zPtlL0juHvkrN;wRqlx&QIs?rS>2(iaD`C%axV%I%14;P~x#K0%YVW!PR7m>1tEEW- zO(SGS`S7$25R28y!+p0+kcLNcSs2MRLL3_L;b}quix9Yu57E+sm~DuyGpzO%*t-<8 z&!eq_(xCxaS>Fe01W(X>eCwcQ0?n{>978{4D?STqstKJF*hyU#BF=VQ=s%c!diOpP zhn;j3dR$FEVi>c@5f=Hv7!u*sO+l~tn$>=kf;n6_)E`Am0VOiCmnCb4K!z^ggZqh% z6s2yK1RYz7_^3(05KPGLRSQEeLA%L)=g$Dk@QZVZb;?l@dXp@Vm zQSQTL%4}IeUL!{T;hRE&QwUPC8wEyW1FKSnK_MS}Ba2wXOTz$oeydf4_ts32Kg%=} z0tDfT6Hg~NRD70Ozy@DYHMVDOX~bH&WL*Y#{{zVw%w*O6Yzp|&sUxud&YJJh0ILnUU;j}xn(FiQ^Or@-vs8ujSt#V! zh#|E@P)xW$Slh`NG0Xg*zE5J)uPQ8JgN6%D{z2toWi-0EMV*7@3G?S@_xQJha##(> zFB7ePWts}E0Kz~(i&}1YF&sALH#1FvA4*6dOoiN#lESi~nU;T4(?{^P{l^@QH7xpf zD9_~Wr<7;JZ2lBeS??CbL8B;Z|LVvg=fZMv1RHUU5(Yz29j1UdB|Grra1;P*58*{I z9fPgfJ93^?akGLAgccO>!8T2pA%Q?Uk{YY=~Ot;*Lu&RN7*oX2p96FN~(x1?^!D>A;VJDtOAz;LZ;SemIk8t|# zI#e6!$!Imd5>w-l^)Ng?!f$TYi_GuazZYjHTB_t3e2F|^@ND6Y?kv88H0Afs8ISy<&1!#f3Ib+ljC06gEtiKi7X5Z)6FLg*vJs^Y(E}g~x$YvY_t#}8YBg^; zjVH5Y2oFUV95`ugRJ3{%3tGxrHLcKT;BrH<0tdz%lFpv1fO?-b6ViYyAnl_Fqb=MV zb5X_!=%=V_{~IdXy*YO#iIlql7V5!1G$COQTmFt3W=#uS$mx;rD5UzqyZwpamm0=NEeFV2lod4Ca2jDVVpPhS-x5 z_2$>v6OWfV*hYtIhC?U5M|sWYqPSedeirHz>_ zZk5GHIVfILNw)*3fbvl7tOQL6Gx5TFUM>S;YPggBuI*AP(7APrBygCTM#)QH%3+^j0s_a9>0>B> zg74|(%4guzzBj}xgn7aTAC3{EXmU8k$AJxXN00$*YN$~p-?{cKK4Fzm89^*i^84fi zKmK;=5~LFFqlN!O;>*QKy*H?H@U>u)jsm!|=GzJ*UcaO@KQjM1d@qhuxB9=G@%DeV zvtG@X4`RF+MzS?Yeysry13zR5!eaK{+h{Kq*O@NVnD1R7k((A_S;-CG`m zLmxVwu~{Xp0h0%R=Tj7P>@lp$gZ0%itGFg<8roE91N|r3@f@en()%zE4XCi!0A^G@ zydhTGkD`{&@NMX9)$iQXQlt>^DWOF-qzjxS)JCDuCBe7)$oy(Sw3?rvF>p38ttQDx zg%63~r+05uzfpsLUjWhz@Y@klH|RRxx5tJbxgmLLwbLNHE`?m^{4jyk;2Z?IGQ6lgOTqiQcmxAZR;OgfC=LQW8B}|MeKKVtht3?f|3)q7!95!6 z`zwh09dZ{VTbgc*fe^N7rdiE}=dF}&#>?LwzteTlCy}QEg3HbscppmV8SK`EM=EYF z(Q@d()^cKewNR@wT0x5Kiq%{>4LW``C$^vIh9P+_Y~cO1RR{xwv=iKwU;wQ?A@f@( z_5I)+2O?wt%8NQX`o>l(KC8dv($z9pQRTd}F?J-zrB){hrAiNx5w_ z4k%vrLRA4Ff;l$RMZWxEiH22vWgG#T|7ZK=ZClT~o88a|r`;DX1vP9ae0^U)uP<^* zG&CId(OBCOG!B}*T=cMmZ_ub!1Rrc*5e1^715~dtd0HIAkK+vNJW64zR~8rP^o`xz z0zru6xrwLnHKeAb1^vwTlk;lDt;9cce3&F5kNLf2XeQGR-x`{aH$Adsx;5B3Z6k6l zw^s)!0Sbj27zJUi`}Bege3)qEc#J2yP*);2BaxqXU*lEWYwI%fZmb5)eZYqcRTCn` z@afj7_l;9xSJT(wvs)Qoz~0=HRYaW`o0#JRB?JI~g`=d5D}G2y;jtC8EraAv1`;3W z$((Nu{I2q0*hOb{CSFSwPXQi0VGmBfsF^oIP1A8VgVsV|)=gDH>YY45@WuQ-QPsCm3hg89D5h6_;9KM#H$r1m`(arE#Yn-V-jKnHx5Xv;tzR5*f$ zfcrM<9p}8)t9A1S8u+Qm$Dr)3k7%Oa(YwnnM6K3$D&LhP1Le-U#+3nk3aKMN5RC)H zJ5e1f?8#2>bzFPJb}BLZlIZetyFstr^3?&TDeU#@r&Mfvx-lq{Yg6~a$;Y3H9|ne_ zae?I04XhRxRTKFG@s`NO4iL^1(GL&X@|_mMy~3CU#jn+=cUTomugEVX?13cbupaKB ziv(YkN++OwUf0Gu3sUhgvHx)VFg*#lFK&g7c&i;vQGEIalZpK(R~_hzm0G?{({7g7 z*S|mn2u*P#&|q7?&5W^|-pmidR7Z3ZMN4MV(i{A=dQVD7L(-ai* zUU^T+NU8;YaI}9u$yYRn6@;kqxAm=fgCK6?qHt=6y0_6N9tzU@cN%6?zsepdg}Kyy<3n;Nep$voZut{4^&ET{m}kD>P7v~SDWl+kwj{oRDIAkyyg-^rhZeMS%H|pp!=t98bD9mM_5$7ZibrucO z5c2txVX(ZCn2+6srmG~cIKa5!OyKV8ifHgx0)?`ay8_+?MB>+?{P^C&YEIMMI6V}H z&6knr->C%ia1Yu3n8Kl8y!crl^VABe`iZ1g0NJ4wi2Nr-%^2L1Y0ER8I%DUX?1g(2*@~3vE zDHIj&TkQ(uOat`}t;?Xl;9rvcC%n`y)Sk5K^iK{l@Cer4S4@rCM4g%>HdsfVHej#f z?pG7wN_l4iiWY%A0PW%3jQ}&fllbtuVP`Z)nL}u;2!;^gNR!|Z0l>QFm>2+)2+|($ z!wDwQw>PWERZM`x5)K{Wy$ujAb}Wr@&ZG@+X&kJ+du1X+9Wqm;Y|-^N)If~KnxnlSxt zB_Jtv6o4vo$k_w9BSK?^2oiu%AZkpa0+tGuFF;bv2EDf@_FWP?ZN{mh}7M!p1(J%EiH&T@hPy+>N#4oPoj!_+>Q>D&9-vO;Y6S(nC!ks za#8$vOIj6+pGpY;n>HIJeHrytzKt4C(1(5&JXQhboomaew#O$zM)Br?9R;<=6zI1{ zZuG7NBlbJb|9=kFJ>G#vHm72xtRuU09P=fmA7C{*D^l717h$MlrgaSi$O>>->mREs z`8TcjKc-D_4EHLtFcR#bXjOc6X=)i|#qX3YbX5DKl0EcPl=qTEp4%%9zcsjQ?Dev! zTa}S>|KhOr&tLlIMz*P=$z4Re@SmD3uG-{qPSY*f6^E#Zo>w$%?2VTiCd&get zuuvp>3L~FBsa-N&M3#$d+|x2Mp3YI&muWS5*8be)Kf&}zrQwcQRiPvcwR?2sH{+)` zsFv#Is z&uXzIU4WMiRi9LM%vrb-ecO<%Y9X2YTR4tCn}-jE609EoIq9koSYVlULRBNhw)Yu3Vb_Q3E)yiQG-df8()L|3t&{=25i`l98+9rAG1!^;1J z!MIwV(|T`J)5j>GPKtP~wkwuC(Lgfc76jGNGUC5)-tu#av0IyZtH*1w>tKo6OlI|c?yj(CLH}At7D%5 zWP&BUnP2^pwBxyjSF4-M&o9Awsbzk?Xq}&KyP0P__gWR+={ubkihIkX9cE3f1Jj{1 zgH~^Fa&HPl;+ut+8TUGFOAFyIW8q~khqi(>4{!US|Id0iVZAt~;;hFx4d=Nyr{iqE zIRoc;IM2s96Xz_PvvD@!Y{J=$^8%c6aL&bfAU*MmdN1Wo^ zCeDs86Vsd~j(FVa{B+qy$)QQoUwf@lpDpWfh#~7Y|5)~Xj?_ESTH(Fya;Nmkf&T-_ CB6=qP delta 314059 zcmeFa2Y6J~);>PxOfSitN$;8To=gh8WD&&DQP_N(pzUTQp|51|3%qe@Xz4j{aD(CI(H)-F- zzk0Kzd*Z?(sU}FubZpg*ec{1ZHs6;sM1$8IBXVj6OXI_)7rKhOmCWohefrFqy$YxI zD4J2yV|Tq&beZrL4c#u0b9wJs{PvV2ytaYoNTTV{{KfdWw0M`6>J}SHsp@ap4yv-5 zJT+Afy4{v*R%`kAN4q$+?z0&N9baINL=DHHGRQqnYoMlr+2OT4WGmIj7FT4hfTTE zFd}vokIP24Z8qEKwK1kb@=liY`AqC#OrHiGa3UXa z9>4S7V)x-s=IeN1=ksyuNF3@qQbUo|PES=S>3kI@rF#?8__)6Or)_uRmnMn+zFnKZ ze-7l!ze(Vx@Ba4BjS|S{5MZ8p;8W&SKCQo~%0E|V`R)|~Mz#$cA_?%}5IrBTT)4g- zwnN{--+nId`X|-jA9BopJ>lLu_-UC}PPdEQnRHl?_{Mq*LilT`$+0eu8EP@pg)c> z3HQG8cO_EdwQ<7xp`lUc3nbzKI}3V%OBC5wyVY)>@l!$_waGGAwa98C-=_vWxtpvN zjmcYJ!34Y%^TQ*JO^xj z$#Z>JK6$RSrPZ$JmH((>dQle;7Z3ZFRRaBuXSs~^!Aagm z9(>4hjrZ;usT?P_-2l4E85Xnz?0ctFD3qUVAu=gP42k45k*&>^sd~8{ z+V(zsEGbbrX7Yu*8Y%AvT_(A6Os_}^m2FKPQ9Ci$N(~>T+J!qKWP>D5<(c}03*`yZ zVx4FnKjkV&xa+j{OEumP!PgJx^rwdNhr5J3amp3ou}ccoJqJyZU6Cn#^MW!V9SQ~p zLq**eR7?vk5m=(B)^u_|2yV2G3^^jv&e(!w%-vrx1s1c+9m^cJk(9B<)ID3YyHzuV z%^Fp}G%7Q|6H-ZmXpZdP1dc5;71v&DJYK5{NfuSb>2ix+!Lt8MRRe5^!acMUdjZ2v zqUI+;LdcVXJ=welI`e}oAbf7_o79iIZ{}s!_Djkp_m_= zqgn3|&IP8sb)um#XkE)KApgtMVG3W0>rzQP*~^q}ri=d`7b_Z<2kmcn*gzhMQ+UTu z36`0FX%a2gg(XwkZ-w=e?$NSj(lZd~)9t)LnS1dOrvQ4a5_T8?~D) ztRhQTEKOrVE#Y@#m}xc%&;>dH)VKn&`w5c^n`CUae3kGYOFD7ruE?ywc-THH!A<<+ z{aU7mcOlOwguvABRz`jvU}_xH9rB;4UA1{k0r`Hkb{EaJ@o<>rC4lZ`R7Sz9X zQBnp5CgqX$kZhp0KQ4?B)$7xxcJsfTCB~!x5w@0sAzGHBXmhik8ukZ$N|X9Uo9VqF zK{GoMB$37I<|pDtl5bx`qVPUvdMB6%xg4fet_xC}x3+LTIT@tIuI`1OJ#-%$_`npa zXdb46WdX@GTb1UuMF!P4x{N8=oqvquFCp7vA=~h7EDM2+U0yR?D|}7NN_7dAIBGr; z6bUPMVt3K-Q=}8pC@{ERRSgP$1p~4PdteGy!TV}R@t%`8V*?_CEr-WD-D6g}?>-d1 zUT&PmHxjtfdg!w(C5_yF?_EmXS>|-n^xc$eAPE*^#*=GlaG%$;-lPJm z{z98BoT<9!b6Ag`>z96h5)nw`qSe1iS>&CX2N}^51gUC{93U<#&E2Ao;bSnzt2u|n zmFMY7Onw~*a_Y}5sjcXVNQzuwbOKVp)54N6HUFMVxmOjrMbcKo@Fx&vB4UmRB1Mt=2(KGN%>G~ua)eH ztTam?(2{pj<9bsfHO?DbK(48|-GygKA24BkL>8w0r8z=0+@M=F)6ca`R|xD+a!-m) z?+k(!;NBRLM2agegsMD|iFo)5 zp;UdbC7Ld%iL}%_yfcBEFGr3h*Yr4Wh&^E)-F7_0F77ntg{aDWoN#ZJXJRePMej(E zLS^UK_er$(-AKEzkIuA9!tI#`0oZ}Mr;qaf3}nm5*=Z`Bn58{^ALsG4kXHDv&xEjOxWogdZNAxV5)C)mSAZ`L zn`X0=8!uB+3ViB@#j{`)Zq8g!uB|#9ow{4U5qkS*Q2!ph*KAa^q3C*EeLZ?Y~D?N`&b+q~VvPx+rtfsr&885Q8`Loi3G; zX|LMMOoco19tMZym;X==Fe>>0QXV# z`u=v|-Pyw_*CttEP(K}Jhv8%IMU4r{6I6HWXdSsvh0PFE5BJ4R@ul11scEbQDr~Zp zNgg>oof?gKCFFh~Y^QL(YWb%`BacSGkNyB^U)h_NSNpKNm|%WGX+3NoOb{Y@XukzsbRY#Ot^ExpHbC>4gz;lj}KV=G_WH&2!u){ zWpGX}s=m=YhFrx8yop-#1ZsZF^S+;8kowIn3LPE_*oG-aO>bm=Bkp{9M3Drt6_WN) zWCqneXCJNFHROFG4<_mP2I$W>^Pp4`3oRK`Z8DrgP!{RDg=<<#8A?l6=Vo?APg272 zPwv^R?{46!14AgX2GIo#mQ-r|IP)O6KJN)eY?jl=RUchgd-LG;1xT=1qIJz-Rw~%z z$ZIn(CaNw6?7?wbGj?jfllnVlivB9ZO20)rjMT6&KA-N4RE9}zD%=;g9;|lgm)wGu z^NWUF2gSHTt0&K8FmfN;-nZa)xTQH!fsvk=R{n%KPtp8+-e6hOeLiB0q%wq48?%_I z(s~4V!Dk3>Z|v=&y_Rqbz4&Y12jp8Or>L_O^>10CC5rq>AKGq};knJFk1}I2s43Qw zNA-&G89jL{>Pq4JVKBG@bW7(oU(9@yO$pYKX1Z=x zoXXgJMBOgSB~nfLp%((`5W@91YiFCfJfbnrI!z|qzw?5GGu$w^oqeV{eJ`}|wTXO1 z%C92PK0a`Js&24EU~9u-@_Z8vn}3{?OP<}qn4RdIN6si^EL9hWH??WucY*T+i7AS= zK;DyNE!EQ|DJ*f%sA8zW>Zc4vR6V++J2k#O0J`yfX`_h&k|K4--sp&8_~E;* z(U0p!Fj|lfVg<~&&;HoCt+>Z^38Lm{cG$ z!f+u|_K&H^s@v}Z&PJ`R$6bm$HH#Z*03XBAD!a!xiWH){c1njKD2l`{agstPz% zecHRbs4tHVgKsc-q+f2l9euC0RJitQcFZAiB7y#5!;ECf zF^#uV5RJ6kQvkjciN?3(aat-pnC0bloXlnj5HK7VN{#z*7f9Fw|H%;FBU!>3Y3ZHv z5dJKoogYu|<2k>~Ng9?I+Jr^aw-|8?+dJ75b#CEDE#*B%bK zi5|Q+_CAI&bVQSb?6qGw63O?7Jee-P5${|(9G~$Bb6E)dC9vg$&W~3#+&kJLZrN|# zprz)d7_<2MGjeaMYRA$1_qAuhKiZ@zGy>*x8YL$$w5PWnv_)dOQ&fClJRS`{KJ69J z^!9+waC8*?+|DS-HCn1(V9KP%m&zRE9@Zz1To3fhr0TV~SmxhBZ`iH9n_=6NYyiOo z1CeI6l6o_Ff6PuG_a<8b)qgo)Jl*+q|M!`RlKGuQ+-VH@muf!kQgsV?EJ5W=>jvhP zL4Ioe9=ZdG;)1alTK-P^c`;Y?hJBNgB7ECqgip?b^Td(&r%`4xEhO%9Rndp3ie9L4 zjz=?qz4&KTF(Lr(=_=^kHv7Wx0ZL^aCKfs6>$1JKUDy$bz#ZJ9Sbp?~@+rh!h zsBvKE8nK~Yaw24YaKz1Ba&H?_(ZS8`EmAlxG@SBkWX5@{k z>j>DCfuATNyM&qe&relJjkhU?s4!q-`+OCs;5x)1>{Y)m^hT|lO7gut#cpNbY$ zpSq!5TD*54KL0Sov~r5v5BF>zRMgHvXM3tZG`wt%O5#Oc#%@3t!}{}Hd%3wbd}N+b ze(VFpqMgfoDtEfyVQb?l2<5XnzJJM!-;qq-YxK|g@5T=8um9VgxelJWOpftV)E{!q zSIe-qlPs2fK;GJ%a{zWS9oGI|5(BQsPA)DA2)yH!G+(f?-D^$D6(5^JS9PlU&l(`(8E z&XDJA=TrgVJoLE^&<(KfIfPE%git9UJYtMKV*v9eqcO>hCO{8hCH0 z-gS73Q#qVoIX~P=cT5g4QT5|tzK$z5QxZ3yGXQ|OXR`Uf;7}7B>Z|FS*leVK=whx9 z$Y?GfD3;ZJX1BHRKK~Srt>399jDVCQo4g# zJ;9GF!EbiM7ZFM6*5|b%HOBZD3}*Hr7{y>W8M!efn_ZjQEoP2N-U}vaokB5tA;j1% zr^Y=x8$gLgJ*@ZM_^2&}nzGDMtv+#21eu~OBKu?g4t3LHwcdQ1@vQ#v5*vN$!POi#wgB2!f8wxZ``QGJi$O9KtRB`cktTv!fBWq)N} zYYG5@)7Vz9Lsg-N^}mm~<8LZS5VE!Wi*mA}Z6fYc>l><@G!E;11&6+ToFy_y6{5+! z#BXDXZ;!KxwR;VZNaCI+tYy;XW&`__Mp1RQ^d0iTmKJa0Gu6nstln|9KXQ(h+)Q^q zp6Z~6i=`Xr;oB#Dpbn7SJNhZ2{;{k)_1;&r%!P5?2DG$4YPf3SSQF0LCT0SPNKNO;dc7y*02(Cz0`AU8*C#0+bmU4@9JyE>1rE`S5nLy z`FVJw(`ihaOgiqa2qSM(xSM<#h^{-2#Pm=DlV2FB5IrsY(qQ1FIP{HlJ(XONjzus~ z{Wp@cc56Z~qA$PzeE$fa!pIJ+C`BxKX(Z5mAUxLvvsQT@-tiQkBX`aXDYxW2CxLE83a80%-JSmCAxEfVx-9<7#9CxN%ik1Z5c z>5f6T_ZhNH*2xsrEh&iV?~2V}3n-2Ep$ed+@E)(^Km8G9f9&^6=+Jd4Uth1voDX== z#ZsG%08aJv4v!a}6O-T~xUZHGE`BpFy>_K>6x1IqulU#}*(_?N<}j@eAZ&4O>GWA3 zrsf>k|3b)>=;%=4%C%jm@^qX^qo<&#uhLt{Ik9B)?_~mDnh1~Nx(@Iv96>~g4oos6 zi@H)hWv~A$o_W;SETV5nG)9*S!Vbrm{q+8 zl#1%5Mi3$TlSmtRzY8}Ka9E@!1&z#XJxomjXfCnoX>1=`1S>Z+FR3?ezT1%%ND!E8 zw2Q`tv2eR^Ge3;XGQY>KLLI5rPH7$rgJo)sp%4`3N$%wKg6CC{5ssGjR*Kn-1J z52t32IkF=__!BkXq*H2|Ut0S=n-m2UNlgu^vO&>UMBUxJpfZt41um}Y4Y(;HXkhIK zV;(g=7O{unz<5(Ycw<%0nrRd`43xPUi}xSr7X1}etpoDfnlmv3LF}c)C;pG}wEpjr zKEH~_@h& z3fI@1cMWnzlH#7E#*C0>rB&p0Y3H-1i|F>7a21$rVgK*teu=7SkqB(TY)HJ}`LsWf z#dZ}EAgZ+W!PK~HAhK#(a;(~C#0^7+)DMGq@(xE5>zUG~qN(XBL?Id;Gc6^~GV9SgA&E=H3!kJCv--t8ys9g&E8-K(w;7Xvi_e)z&a^Q zUaI^hXv3q5E>nvb%%X2{ve+CIb=!tnu$ed=3Zcqyq)bkQU(g*n>%M_X4^jVI&`r{w z6!R4U!;$Cn8zPhzI+h-cw8~++UBO5b>TgA2WbnhHdhh5JFv0`LSJR=iX!ARGQh_6l z4{zO6*$0BJ&eNwS`5w~8(NpK<4xTK^bwSzS-|VNpq zLxkN9jj~S!uM$=Jb;wdqcrbG>2 z5P|DD{lSyc5?pOV^lx`$KUKA53rO(vYJr^hFS2o5{{4XEnP z+6>f{+^Ri0e*TvN5H*o(s8Vx3os;hTu19yFILn}g0JE2HQlSTalesT2mJS(jY64 zVDJggrIs0T?alg!>8-o;nbdr#-Ai>_Dx;}!Nm!)tEwy{FgFVyU?;;ejLKf9C%McCr z{G8hpLTGvK*(}WeXaM5BoF>?|rPOEx@=Ir#ZFI$=^kndbsV!enEL=7Y^#dKk55J!u z7$v!=a%)&5xmSALIa`w8C&<&@xs?=D}PrQ&yIe%^dir;tMas{>Yo5`o{0FBYw0k0(!>y z0A+kzn%d8l=E_HrrkUSdc*%x#2}D_ieSNF z;EOHAiW9rMZ`%@=GZ?WHfWe$G&_LM69txU&Rs zU&hzl7X+Ryl@7Ut&E=t1x+*)&T)RXTJ6gCmSfkW9!7Krj^{9zW z%X1ktM#31t^k4ko`LSO@kl@{dstcg%fSF#rSh9&%W|Tw6oSp>u=kfHL+Ggbkik%YM z^nT!+7T%(4AkU3jApR&%5Z*e&$2z#gn+y7lV(1(tRZI6~!zV7V_NAtGOsH|%E62f3 zxIY9sV?=BkBO*f}i((75wj*9mRl~no0Ho5w;%JmGrfN}0d)PFA32+X!_&G{Aqvi)W zfKj-z-?X+WO&4(C!n-8oSIoOS#zsL`ggdEey0)8eJuQ8%8aOJ7zLJ9&%Qg=F;GkX;_@es*czv672Nu-|Vw-`o3E3!^N*1JK8yc{5Cb-Oea25;$V%g{HK(oN}ON=TlG=+=fyu0EgGDgM%6V% zHgbNL+LIp0)mq7W4C%+-?H1H5Ux&ou*rvqlznEefP*fY7 z-mwS^gdWbe#-KCf=7g-u8RAr^<9ZeBPg7Ic1`#yEv&?2lO+)p!A4Ml+1n58iB+6$B zt_(J-RU-mbBhMgcdjX(=KM0=hfC)d}*aS}*I`>kwuGo!DGtP(r#PZ&g&I+Luis|xb z(5=a;FnJ95YdZ^Ib7AgC0V}dbFqpnYR->N2C60V*%1;}{0gmv1DGxp|p={)UOSVIO|jL5ql z5u44f#U`Fi1wf0%h6FYC(gs;4>BX|ywix(_j{b;ofSf=_KAP&>$nly;H5TCj*5`yg zsr@W#55xV?N4Caj6a9RF<0o316%SM)$oU{255WmA;45_$OrRMoFx0|)1Y-j6=hFdt zfxT;*tdLVDTiLn3t@luB9xp{u^+PE*`ro=Nns^m90%H1T@Bl2HfW^<=bD1qIpqKep zH}DOzJ56q-L_c2PSW9(xj4l)_@_Jp-7KUkwJ`_k|#Tpr<-+v5~|4l7a4lEyJspEHm zZUzVbSgCRgD&JT2mr+9TXDgClMd?^ZYNonmIsf6XSbqJ#S(4lt%7#YUI`~K%iXB9w zvXX%r7bM1F{{(>S7gDursX53+RQ1&4Y$#tVHQuX7^9t_h;kvmb1be*DSURj7%#Cbo zWKWY+nwu%SiHKr}CdGyQ?0h{RSz2|`dH)IKee+`|THbzdMLcJ__Lh;ywlcK$m(psg zd@mpNSfO^TPSjD(fOg5CpSJ^CxN!F>^ZyTY2l;{SBdP_6(!UkGx1%PlE4cdw2P>-( z7NFhP036?09m43`B+gKi{GaFL4ujDjNTx?4jZnv>RCQC3nbUNa*_i3(QsYl!;zZ-& z(c90A1{2WWoqY5h(fHw*7a=1{gVCG=ALOEq8n?K2y z{!a}W>F}ZDw}|Fv&xMoB700zFb8c)0WbN%cEo#r#A>&j}bO_rWXRm_(q0{vIi2q5M z#7`lI_Z%LE{LIrUp~Iz`7i%y6&!-en9gx)7YNpqscJpahLCvBZU{FW;w@;{koJk)| zy_NWDMD^||kF=2<1&by+ehbWgEcs{wjsdyOR!Pmpahv`K!$1t4ULf33^V#Ky7fAHj zCBY8iI$?TNHJRpg$tQRH(W39JhuUUM-3mT!Wsg5fPIl`DfJFO;E#R8)f2iAFu0Ri$ z7bHE)V_BOF_*gbAu0GntiAB3Fq4$yz^R>WTb@qZb{@I2i$Yz{iogL;rJ zDhR&GtYD~U_tf~+7pWXPhaTQ(2^Q`F=RLtl_1O#0adj8z z=Wu0Iq;QR_*agq710sAB7Qs(r${DE26xG*F1#_!~ckOW)7^SyBQ!NW!O`f4sLPf(J zZiEavfc}tBaqk6Jw^1A?AeqN5s%BI?j^Oe-9n8mpw+1ayS(ln#vLKZtl)W z^MMG#!oUpG;npv5FOuk3Zit1ZJQD?RcZ2RN_4`?>ugHPNX6W8fHebEGtvh8$Zf>2K zQFtzQBe1CEF1DcTXc*CIZ6jR-(~B2PDK2Pcs6|fkzdiVdwp|H_54XYac~Z^Sxsl`1 zpLku$xCX!&TGH3B%>r+us66}vS~hCicr*U9T^gi z5anT0Y^N1M-~*qkQ;6H6)0e0lbGFJlRKG8|xA489`(8#Hu#thXC_X%eIloF0(_apS z8mN9Kss}YT4qDxr)O@HK$aoAlIR`BrK+LwLCqJB>xr()n3zPy|V&XR)oEad#K(WTW zj)R`aLhitQ<`jVl%A@LcT{1rczDRbQ*y1R_Vn5YN^e(w2SrWH>9Xh$)3BOYHXBmLo zX7?!&(_XJ=zazQ`lne%n2-W)srr@Xh9JbRUYp<2Mi{_l7SV`ep2VepGlJQR>>4%J= zoKD-DJ1Y3u4<~(DVi&G~mh&KBZF;-x)(L5V{ajUa6>w^aIf>-?|j`tzn#|>mr_q?KH*%5vev$5K4U5 z#Sj+Z(_ZQ#4%2zqd8m)NM*r+-1FdqS1C%d!@PjIf-`3*+!9}>S%l+`@?p>>XB~b4AcA2IJ5|Ie(;=$mnVg_g$I%oO`*s11n6!v6w|Ifl zp2-sZXqT)2+$+S$9=$G>F zc3?m)wz}VexA_;Yg&uWMP2*b@y68uAvhgq3aQje6JeN0aI0RU1!PC4u6IH#`<+_c< z^4bRWht%0w@HEqC2k^UHABHSyzl4Co!ZuUGrD4mc;h%E4XdE@m+G$S39ok^{3* zdka6#<06nlt|AY4*P%l_+5%YU6!d z?A0m)EMkV|L5iz;ow-0Xdi4{eE<$T7TMi2BSU>AO$L1373&^`6K#O^kwybq$-p@dj zBW8*sLpU$rNuFgt##vtAcO!Y6*R{>J%Z?n^Vq=DuKhGz<(Rsy}aDgZE_l46~WVg2F zXw!(vYI~gsa+_^x{ufG?H)qDF4LZ6CxH;&d)c6o44Ekhp54#RUKd@7nWTL|7fw$0Q zM~(#WTz~Cc@_rsO6n#SmL!E7>JO?!`pVnWXKL=`^RjT_w;+&$P6(Qtp@aDk(Ez05w zufp0M=d?uF7DzSKH^Su9ja(zq#s|2oN2YZMQi7r_wC;MxbHmk6aU55^HRLo?I) zJfYH4`tK z+G4DyYH6Vb2sZqJG;JZ%c7=*lj${Vlkn{i>x`FH}dYs!@B%P@n$T&KAGP;^O!KK%} zQFMY6q3pEqP>+5cgMcj@SM^CWoVQ(N+s|56q`Hc;cyieG?F-_Q*;Yh!_1!^fenh0p zilZM?itLfSyRd8>JAt4_#NM{JQ6o9u)H+1nm!qNJJD8%VFhOx2nc-EHpk}UqZZ4o) z)nwyU0pbSYP<4cS}*zoizd**WeR!{ zgnQY#M-La#T&i54^6zZn{b=HgU3KN)K;SC1~!|S>Hj3GkRY(CROSv;j+MVT*~k__dlHGw@D5*8<#jfM=g9D6!pBh_D_cad^z zF!*@&G*^2nv%W!Cjz#0|Fh`rDNm6~3cy1S3Ky?vAnrXoVJsQ|W4q4^5Gtb(l!yjYh zCEO<1SP1N@u1D|N6}}iHV-eKwv=OZ%=JkZza(c|pFRkWM)4d>rbEvf&>iwTm_65q7 zR4IhATO^JDZ0<(REybh6!{-=!1#;K}PKRw^FTVg~W%oCbG*FwA65ux1i>VWZv%LI5 zcu%bzPpM%?>LyWNP`v#w3=8d2ilKO?M@5CP(csv#L<;y-0{X7Foq^c(&_w-&Nl;d$z|H{AVHO`>WO|koo*=FeML=hdJ_KbnFv?kQee(OQ8~c5T`b`z z2?Uh5x*bA5TsFc2t(oBQv6F%GJ}D(e%T@O!Lk(^xB@DlN9)D*kaF+gylvu=4Ii zz(|z^(9doh8l&JIRsPnre5FNyaNIExTwX*CmxmX$hEaVg@lR(*>{Teu#?(?rjqA{U z-cL{gf1S8Ddd&(KC4HuP6?3?umYtlJjX`{UQ+otN2GFLR3MqMg#&Uad%mZp`{Mshw%gz&_dU`X8Dv8#TXEU%{euX$S;9*1bofi?mC!;^KISM_J#k4_2ze_sDZ@s}f@`-x=D3tJas8@Ql+4%tW zP|}P7Qob{c5Q<`aPo*bRE_ViP{JL^`mnBPJnqsMSh8s2k4nlU%|9xQBuEe>P(Y zTClR=*=mfm=r4?PUhSa%1)|`WNvQohtv*ShK4~2&aWcdx8ow`|c>0I|_d0_I;3vX? zIqk(6lOpo0)Z`;RVXJ1De|Y~9F<}bBInV0_%cX^wZSlIma(o^Wzh{K;n7TTCdDKFl zwNOr{Su$&OA!;jV!oKG)y<{3+#dxTm5$i$o$CI`+Q@PmetW}xx*6PsbiQO zz0K7n^p2S9H=l}I>yP?zU*xGew%g&)g7^N^K)6Bk_pSYjs46#ZKE#O;`7TD<7-9_< zRTuP{#E|5n_Z%qHnuo4I&A%CQYo9TpLiAkJQ&l~Z_KYOzKJ9-2s1`y?+bGOWEaro20urI4fc0y?IimUTq3{FR^>J?eKH_d3 z-9ub&XS^CLe#@|`woLF3 zt5Z#sKur|7b^9_5MWSMxQ5#S$EyMfCFDnFS1I5`kt~NvNR6sCyqz0;4Tcy=SfUr9g z#q(NP6S=M#fF9AsF{pQ65iz=UWqGmi{$@mQUKLL*L+C`I*4Rx;?11GQz;0DYcN|2^ z-2ckm&qM$o*=w3?A@jVXg#eD z^(<8%1;EHgc}%559m2Oy2Uwc>REQ?+xeWl&3QS=1`hSPc6%Dr0K-4-l_Sw}5w0=`H z9sNSjJEu=~^u{QKGHi`xKGR~_agRyg)X@OuQEIbRz407B!Rzu%p$t!NXl)9N&V6MP zoR!h0;lPw8BUR_wdl3akt7{~^b=~P2vIJ|aLceICp|Yfkv^UNlgCHwn2)73yRX?Z0 zqqLk=kF;$FXl2g0+|z3cmb#^6$lv9fE1mLW;VdXEI?H7KXNdZ!mO~Fq{5=lNK-6co z(3b1xTe~v>N=YSR#}?N=$t?G;0HniVh<3!`GZwa*1l!njM3K|dfseBN*x3;Hy+z-% zy0jgwxCOO93u@2^H)&yjXSKckY;soev>Rc|ig4yq%kr^bVQSYln?u#8q*ZUDF4~_M z$p1!gJD&|Fc!sL-f@}mFXdeq$Z+$_29Oh&uWq;{p4t4uu!2ztYQ_S+hz#ajJ@jnua z?-;T~(Il)shWq|NBk!(5*nwelL^B?xWF>+9_#cnAZRJmoukut zUDHrtOwg47?dbIFFzhGwxSq|z6Rm6SALSodXddJogaz|646<5#6?`FgeXPAZx3r7C zt;IM@7@0$a$4f6|fwyFVpm^|3=RnBL(|tsd zABSg&r{v~kEWm>aq&&wT5M_vdv(PTw-a+EQQ z>Z^Moay~WrJgGJ>C{nyOv;yJi|BDbeS_!%Dp=lT8nyJ_1imN-ux_|Zq?|$xQK96h- zq;`|YbTSxiGSFb@qCQhN?%gh`idM}nac@H0dk1~(u~}&2R0*kdQ)W&+V-!3ONi!Sd z4B@&#rw{muzmMRRGfZnF1ipW1>mTmEWH@fa9en;!6g*&1_^0<@3VIg}J*vWc>Ug`3 zcl+DOv`<0c^?8qe*%OMOkHyoCE7Sxk)COqTx*Hq_6t|`xv|EBR2DbME+Do34!Yqs0up%1FDv*CK*txv{e_$;p>Isx#p;O?M}N5 z?#=1p_gs`r{~WcS8ntHhH+{f;irZskQ{AiG{p`%`VAURp(P+7}H^M}>o{V~o8ghzW zprR+j!pS=!4_NC6IRy_yO4U*I@NgYm(Us4jIV>z49j%XXpfggc<@tb(!tq2xeETiL zDJwH99*)cDwQi)yZyh4{LCc!9Xg49+UBys$4qRNbcpy(dv%Ra{A@-e2ahGM9Y16w& zcqo%%iIRMjvKKhWZx<}v@xW2n{*h~ z7KGzaM=Fhd1PO4BJ@w_Bhi=xPO`VC#K9O_Clh|WQy9X?ASDpAgi|=(HqeokrqR1C2o(PqCno85i(p81M>Qi4H<;T}`3D6TB7_ zW%$=)l%)<0_cWT5rxrLxS+?FJi~20f1ja1QgcTUVR_mie?V0PpTnlW11b>(QdC+~= zyuqU_lG?lvw+0eX)OR=?{>hG3-{Y-DY8s`_qTPi~JX5d0af1ZPIKXzP_NE<j6;@vDp`x9~kWr z4c#q}_-fvKhqXvFDH=cp?nX&QO~s5t68epuN06pJE-bTOjyg$7p+kJkzK3UCTRW0UY?aurOqFE>brPA&CE~e|Pvy zLu1ixJAoTE`ZovNc~`89>c>pPBd*#%>Ex_A5$$AH3}xSeB93L(n{!oZrk@AC_uaTP zlvqP=go4YL%>`H9?+Uh z!&0qnWd^b}se=qSgHUrmV7rWb?;05EBg@ zldy}0H{@-zZKXz&EEmd-bc~S-qx15D+!{@_1}|PuiMFmlOR*oN%wYQV8C!%(FNo7# zt&fUmecB5zcc(O$6v^(^=vMK?s*+d|`f8G%%I0vXjr2=WLa172#WPREE~6d5?yy|_ zJ`QCclXYnO_tU5nZs`Fn(joH$Vlh%;GNE6fj@~Hht3K!?j#>)Uhe<8VHu# zd{-C-a-YSG)B!w{vGa3e5P)mVS|Mbu9Md@!jhPl*IjxZ8j*dJ%3Ji2F!3^R=7Jkr7 zPHPWaIAr|D8D}pu%Ke_mFwvTu@&-a`IleOB>0s*PXSkqzs(+Ln6JNNrydU<$=3sp> zMn3IQ?(Sxw8N+kSL_xj&EvY31rW?3RFZ&#HG{ahqp(cHxYG$8(Y1^*j&FZe1b_w}5B&JSNrD__g(N%rmu zM$}=VC$EmS{~YgZt)^cY;Bro+AtcfqqI+xX$%F@VrP}l`2?Fc{c%_dcL+gV~X+CIK zjOXu#$I(?`azUp@?{E*_PT4jSn4(FnhBJ(>6u(j&=hTq&jRV<*s&+n0#!ap4J*YMl zhJFGlap=&9A%0Df{iE!nE6d|dqK{oUp;ltTZ^wp#i+d?rOF{tC@j-=;uOl^XMBz4wmt}hs{S|H?`%B^SV6GqesakH=1q^PPEYN zSL8;uS;cs~UWfD@Li*0FM$j7cR`6WquvBur8RMtdF>lTTP^B_S!j9VqGT7M4p~s%+Ikzd6;5JOd1xICJd~xP)-Ule&gC^dk9o5XgcM7XluS`|DhyDF67EI3YYZOhJuo{8>4))^ZmQ}Tsi$k=E3a?OrgBKMp*|<7 zHahiLs`xpwfSS{-qp0EgqTZo!xEgp6cwu|<%T33xvgY8yt&S9CZll(>(nr!)gZ-T{ zIzAkU)36rO(1_45F`-66$c&vhw&Q|U%U-j2Z6DTVd$Ir51%*+PDP{vz z-7e!9m!XDRA-^{lqPcK&O}5VH9|j7*@8~;#zCH}fTFrVZ`I6;v9Urc=7gbz&U+^-N zvn=SvcPt0m7=mZb#P~OQLzC57BUm$QxyprZ!j^=MyhifDRTf1k>!DvMO|NYDW3V|^cf&~YE$_A3DA zE-k*s&lyO*dJ6`@qV%4ls5vKJZ_xa9s#_GC?l0sVaNg#)k<<1hSzB-$&MqTlm%ZR$ z@rEChdRk?TNtLwgH5PHS%=$eyD_bIVvZs1i+46 z(|*UMDPD+g|I>P^j0rK(4=Oh}YG{!*msT)OvAnbT9K0Lhx`6u!|pP$ z>NyGwv13sa1eWXTHq$$|i{62;IOmR#b5~AHd9qISK_S`Es^2*)SeFiGdmh#kGR^-&>nxc5c)9UU4*WwY z)jTPRnpaC9wEFS54727g*;Wr%&YP#;>)+sYpyY)xwH#gD4AkfBFh zaLqfLoiU07r#Uq|J$}iWyUh5d!)LT>nKOn;`{xfNclQvpQRb`r%4-g7PR(_yx6-&X zO?4nqgJz|ADn8Y4#S4Gw=3jh04ShQ?D~C3HZv-r03sFSfcnMn)BU?tfj|Zhk;*dQri;rOK_HIR@G_xf`3z6G2zu{13?MqOdwcW#M~Re$gz8Dfq>!1o;D zSGZE|aLm2|w&kQ%P6io3XFpnM&tvuSNM?doTbp{c|2t>h5~ zSX7G*`N6A!R-HN}ITejlwydGD72Gm$WtwXnWY|+s)PSEEIu^_r~W-@h!!KV@vt;bEYFVn?_v@K z^e!4~-4Gc{6(69f!=|hZGxZ;l77dRZ*uV;{*<{eImXqpyB|Ob^Kn^J{Uaq+sSJgBu zkI`T+$nN3a%yXA`JdN{5@W<+HT)2-(v+p`8TPtNqlGruFaD&PuZON(aWsHG#T@;j- z>BJm6NEnkw2V~a8E9b^PAO7JMK+0!WD`{$RzR}z$@oG!HU`iS;rDypxvI*TpMTOMU zI*1h?PghgMmt?VmJGgn6GK-aP$wQl0So+$0$$SvLb-Fcl{HM6gZ2XDgr1~V2g?ZBL z=S!bolga}%gG`2`C$3(BYti&M`do-Y7~?pO%C52IppQ@Ge8Xh5V`q2XPN7^aW20B* zDJrH`LKP>{EwTwt$0fPh$(o@~zJ1`8#KTix7!O`fMN>x8f6z`nO}rrQ_cF@c6ltLc z$7)km2QU~XxcMwzgEbbq>UCSDR7blm&mYXcp3Evrc48ZXsd1bezxhDC2hgMNdp;Xd z{AI%^Rc_#s%@P_TEs^|*VY7H|EQA+n;d;r`NQemMb#dxxEkB$-Z2~o&qx5I(m}_ur zoIdm&EL$I+UW{vR(^LRFbSt{7PeEP0l=b^1jeeCzWABTvo$5$hE`=4K<1TdIgNki? zX2TDDbQO~25_<5#7`10|G#2;b7u75JFRSrshGsTNkf+BdOVfZ_CHpU`B)e0nutdtu zXb$EhoxU-_J|C~V3lha?0~00ik@!e`0kilmGM5fskeJk3QDtQ5GQ?=t*s9h85y#&l7)$;OsC7N8-%;L-5O1E zfC_(VrZtE6zc;Q>5C8*qCH#^O_{Wq>s3Rp)cnls%u8?FLaGJG9u-E5}a?fsW(W zO5aY`xHRr4uU+d5XzNrBm6arPKYMC>tMt(83{Iy~v*`8Y%p?rGgZRM6SbX3v8r)oc z$voQ1+mn&L(RX|w#qD2@YJA6JRHaB-78q3(BRI>aahLl7X9j^Ve1kRkrQ^@8r30%C z9=1o2f#6;q^DcQ8Na=o4#6;3SV^01mH;z*Rtiv}c!{4B=N@KTr1NCq9BOkVhen{}Z zWc3(X(F}zxf~lorL>QfBAjS)s;p8(`4b`}P{0{Maol}+#2aafdHo{*Vo9Uv)t;2&w z(=aRc7MDb@Kr7>2W0h92(oG4+@KbTFhA-fM!7-(kH|mfV#eGikTndW&DLx7ydn`Md z2E7y7M?(j$QbJ|7gOZlXc~B8;4`{y;&L&H9K39b@+Rz>Hi!p%Z`uKWvi|XUH)S-dX$kIK~=9xg>+@8Ikt9JM0e3}WfuHo&?Df9FwU|0 z?HKvY21(%Zf5->ORNXeA58|C(S>myC1ELOVdbc?_3 zS5x+5MzSK=Sh~oXt#xljXq+a4Q=@a^G^b`PPRA%#)6oeAJlj@n??2t)poNoTO?2Kn zAsND58PlZB+;6_l^J{$s*gs-J?535&f zx|LHA@J2Y@#sKq4TO=>9LI+&dp450h9@Nvc1Ab;F1I3~mC?Fl2h2x14&ODsMuT@S^ zu|@Xy@PQP9ySI})Y*v`4@Z$VYRu9xPp9AlQ%AQW=w#Nl-UP8@4NP3tcPR)xN-hlWJ zFBUo&cjgILvK4H#LL;DwZ4QdKLepx(@3%|1?yoY!r!$P^niCtM zn6D7dS>8K4MH9i$v zbAx0&CcND0PhL0~@9@tV_<(QV#-F%{`VoRz<=F%D?go`2AM1;<@vT35?&AT`FOdJ^)M;DkK`27A2SxI$m3|1wMkK`j?BC#Fcd!4hjaG*#C#FPfH~Vd z=&%i+$S{xxbcBv>2o1-sbELY6}OH`d&Gv< zxIPSHW1VwcPPEAz)i*+zgrpauQ~G0dnj3gFDq;Dz=Go<6^DTY+9xu>=U%tSB7nGKP$ ztO}-3iAYVJ$y$xcSGHw2_K3^} zFi;{j;Ml7*&N}s?C1UGd!vS^k`n@0)I!3v#rmqH}xC5_7B$Ib(h+fB1hmsB;iQ%hd z5Gik*RDcNYH$d_|n4gBHx=A{Hqg$idMhy?gWMwhqU{qibC%8-YfuEfiK@bl?(KO+w zQ+Fu-q}EV#{MtMUibectMO?6j8-Myb3lfMJv;{!YMH){@myPSn>h;wrYnplI(!c$qr_ft(4dhOrw`fSJvO}Q#PeE0@dfNvkdws=K2Agfds1m|hp>meHQ(>cQ_+6e-ORPcB(DDOUXW|!G9IeHPAM@e!yQQCvK<$JuF&Oa{_xq5{GIHvt+;J;J8Q1(!z2;-=x$OR!Aty zTO%8d%o@-8ErfihQ=OZ68tv07L0f;28M~R-vEBK$O@RCF zRu#P)Dz`a71NjS8Z;4tsZ>S{FUmxlu~-?hVg8KTiiZ-wLO zIeUj7T_aG1xj?4Z*2Ex09O#BIh{biF9FtIvxg0or(!$`324S(L2Sn#428D2N zKI!hPo+@-8d~c9=Nk?MFGy2)^g~5euqiB>+G`bN+&8RP|`3^rtRsT=p z#idu$)(R71g0GbKqoC;#CfSArh3(p0{==B;_BY|h;z{b!On9)PrsZ?5hITpPb7{vn zQnA#oe=nupoQFqXJfscoqHC{#Zv8k056EjuL~;NZtrCh>AwR>&Q5%{`9&?ldJ0EnS zlnA)vDsi#o{2SItgSSG3b3v>-mqZ{BTTekd6O451qf`q`xWsp#+nz_Q?raCA#}K0jTBJKSUXfbef%EYm(oyc$sd$o}W6{kF7T?7f1ecLmL%KA;7Z!q{2Ur;*Ig)%Z6zOsYbs7Ex)v**0|hI0lJ=>>0v z5oh=n8@3Bxbu@iLSx>HiL|W`Nhb(GTL`ti3$ZRq-Hauw zUJ1WUJ;A5`#1E6()(oOnQcyptCqu4Yao4RWWGg8%)2%W6f0Vrqd{o8NKR);F-QBy% zCb^qz-XJftn@zHLWdjJPyi7vSfFK(R)vDD+sZ#Y(@TH3KhU5EE1uO-$va*|JG4ya3CD}MI0i3eoPwS%G32NZf71Ps+51g%=h&$R|_ApZb6G)XenT$ZNPAFIo zN6(Kur`Csxtb0lDS}0ePTkK8uttH85sf}U8|0{6`ytXiys#pa#tPi8n`nBWo60fP zyQ55-V4UG90-yLwVS(Cs%&4SUG;ftawE^s?L}BRaIPeIX*YZ2t60|b>V@$fyGS&fa z@OmSxR{v*tJS_Y0uBJuqHk`-#A?n{LMV3s;bR~S`A z)FZ^iI(o*VYPIyA{cqQ1t6!~|SVICH>2yiWqQM5ENU82j879(l=YGipQCJP{IJcqR z#Dfe+9ZDuO_p+b_Bi$oIAX)~uAqDmX(_352-B}q2{%YV+GrQ1xfvP;|&iQYPhdH*38UK-KCa9Sq5E-`Pje!N0+)8R0v(F4CzsE z!FU`@H3WkvDfFr-WKGhdGMD;KBOl%np}`>an)2&S;fkDIWL5e}#}_3zwUs6!TWm+1 zW_TU!E7<-)ZIfZ5zun&H_BTp{N$PLXqDzAnOLP0u?Mnw}Sf(XpN(4;pf3HYOVrja< z()5LD*^(ld$TSxEj5TVtGHP=KqM{#R;geZOkAvFZ^t9`!gY$ud6G_KR?X8f=Mau0mZdY9p8U z%1D9f<3p4<3$|L`Qq%}WgNFO2cS3sS6W*&$56q2el-Zz4a|^ifpj!Qsrx-gifyK@* z#~#9PPj3`%UcRm+LV_-!pW|Sg5=R1?m>9s44$+>;G{5V1KJ68NHt$@YTOI7l>Xgt2 zp&VOel&UX(TAGuCtO98`f?Ar3l3q@2T^-nG)Ha~L@GvU0Y*HA&c#NQ{li6|f!YJpg z@58ZA#%j1){yS1hFg}9O!_3l_)+&$i;$c_ zF)(NW1Qhz|+3MYkA&_8Y{34b3dP^%@3)HE>hTvVUt^@1!mAd-%SfphxX*8(U@@#z} z23Hvkye23xO3F-YpqEz=Rg7}0tP*5bcb07vF^i6YDTh1KG*j0e;YYrJ0*Up zHP9^RQ1hmDPvnv?`1z!E;=7a=*x|le7Na>y=@+1Y?f1kd!sEN;F&s?%EU}Y5E|x7@ zR;=2Fyscb7kC>Pd>R@In_`&Ui7)-l;m8hDOvdA??evq*gmuM9el$+B@IUwxm4^)()!YiNGL|78DPWeXOJc%& zuod>NwH*^_Pp@J%@SrhMtqRn>u*E8jrr~PeB~Y`~cMkHXPdD5ub#RGOqk^Bb#HiHR za^}slQ)+35,Rn9#1ftx4Aes8;|cMqViz=l|qD;W&?M^+@q1jkkHTB?_6Omk~+! zdz1_T6syiObrpcLdewml7+-2ZpoZqQ0%(U!r4B{3L2rN~Re?!+PA}t2+ezY#qGuth zx@Vg$>c&T5fb;55ovpw-&!h!_dFrMw`LLY>d9lat0jH>iQzsUvu>*5vsDXC#OX}^Z z6W3G8Z%|EF<;T?d0~7q}_Z{<~vss2E4bF|GmzFtn!&t%1Kes@$p(s76HlQ94HRh`? zCkg^8mM)4={i>|R*f^8DK?NF(8a3%>W!^mMS5^YOl`fk0W-Rj%3)g9=&e#`zuy*8j#<)_ zUNz6Zz);_RyE#IITXK9o0q9rD$v3E@lP8gphom~d3`TLVObH97=u!@<-m0?}hq$gC z-b;Ex(lVGBzSdxp!LVu_wx3%zm+0#jD$eceXcg4gdA4=rDZ|Qv+lF+bH~oH>A6^;f z{I)7bRe!JhtK?Fy5?k9|uO69O5)Bke)S%|hd6_u(VoY5I+vSm5>>|+I5{0~)(aj_Q zLiZfHDwx2p3uBGwky_A=TgFT1+EA(^nk85H^@KGzm&q)*Sz!<}s}_cl`N4^5)t^s+ zm#L14a7%FAD+w~H$Ljwr|I^AX>f$uz$UO$@P^*hpEFwD?um3&IdmghBioa(KG($LI zi{#kc?ct1@{r9{Y>0m$+1F|sPHneMaS4j0XR9&8iebaosr-U9dpXqk>EO%Z-MAYTW z6V&#f*5{Z`QXTko#+l^X$M%N7rMzm-`DVNZGef${9X1s`5G4ex!89i@Vy&5LfPF^D z60Tic_G)RKdTM(qtTeiV@M3@}hZF)&d9cSAz11HzSN)^U=fr>N$yeFb`r(V`p$wjMpc4?1D! z5?!N8rgHr1x+SO9lgTzXT55A3sK#nfW+6-|G65zCbvwzI=9HSk*#HpX#~|`57;L*X|Z{~-AUbCk|Ln5?d6&dF^W7 zG*WJ*3ceLf(&1eBa~*u4`py$&wPCcyHDK3-u5oBoo3=~{tDdLJyy~S-XDp(gXOR+1 zwLNUo$eY@)!2iCKo^zCY%5F9Yz1A8M0kYA2HV6)U*56;FUKuwJu2l!u=S4i0fcyDJ zEA;FqtP){0>&MudW)`qlMtjS|UPRYMdIkcd2F;*k2QR|8GaWh8562bg)~@lTf@7qu zt-`WV?RbtBPF3y#$BCmAAd?iXyM`svrAKct7gIwc>_9gPxjZ7M$3pQ&<-xoCZjTim z^eF*Xt8X-6G;)1mo)7T}NW|T$^R)llU1+Fv#XJ&na%v-15*YzoJw4RuhxCPk0p!$0 z8VeQ=qCd606~k_uSg~EX&YiX4l5sZ^peJtWcmm=_asp--UbRml%VHR;0w&xn! zU!4Ggbhy!1OP}=X2yh@gbu*IREehfkHE69xT^!DhFZ0Dbf;+VCHbDv{^lKld2x!($ zLs!_@n!;67I+mHaXzxW3YA~jrx8-N0el@+(#A3&J{!t~D*1n;4)lmgO|9th(Ii;~C z86@;dibhukFl~U1q$ohr0f1ad!G?&@pGhh@N>=tZ;{$Yd_(&+Mu5CaNM@-#jM1s$w zHV~W1W>7Y%v*S*eEeL)7t>!$ncs}NH#KjXW_0BHr+JrU6XJBM@PVQA|Wci{y)!?PJ z*OCV62IJt^62wA;0~w;E2aynE0y(FFM9LP-lF4uU$Fy4X3L}u`bAyKOmIY=-c zL$8sBYOiRj3rWf8rILk)XwtH-j36_Gd3VUH2(o|=( z>yBq5#6tcxtE2BiD6BE`(eQEyuM3r29%!KcL$edzv-2y`Nv|h@p~0zG0!@Z~YGqF? zEq2VsMvI28Ln505oLSTr1aN^9Rj}Z60Z8gwy5I;t0XW-=JJsD$Q6KCR?z2JU21^7R zYEn>F0C2?jZfHI&whJZ0{7KLnUtt)~6SJE$33rud;6a;nnO)l$Gm`RAxD7Jeim@gIxhX*IH8MWlXS3FVw1ng>a=^y)UK1PQ$Fv2Z4=6~9_|_^eK9zN zaG#@YI@ah{iMcRvBenX(%-RC(rjMgUIzvxkRSuVH-k7C&FA7y_1Jr_p9)Xz}If|O; zWPF=Cpz`aH+lVd_6+ond8P$Gsab05=MbKdNX7+sn*yzvRVhm2^X4mXg~TnjQJj&y3toqL{yJ<7g+Pk{5-mr7J7Op#b4JQ)XcDS6 z#0_-V>t&C$=9^3|d8u9-hO3@KhFxd&0wZOZPe3oE7?2i*Om7%buQb@RnO|mVP!zt| z*x3jpsy(TjLu991n?7NwJ{-WU4+@@b+>jE~rlV$EQj8!`%M?Hi=2w9ZzGk~%0`Y5+ zomUE@3=K)*DN@n^Gy-nr`h?!XLPL4d5Geg&$UHz#Z7AGP)=7`0afTzB=go2zJJd$K zjr(~STfXxMC@ldIX(SiEswyLx%T`F20!qxfRPVR_^_Unem{tZG==PKI;l2Jr^DEg- z4Mwm&07Ov)gHWMf;K13##l>DYs=?S=Fv=~6HjZw-!L>9<7X`SZ8e54l-bD{G`1K>q zXR{6itiTs=Kt^RmZT+CAE+iz!b2e1~^@!Ez*c^GGrVY;}v)BaCWr$rF#0QZ05z7QS zFmvsG9i+1WZn(Mo7I%(32!23MD06nY_m&`LaNIEjoFXbXMeGTB5(wnIXIe7hM3PZ?pyYa;gHdeCqfE!;2zBTLxJhVXyacQ z1jtoTRo@uT*_?Wz^i1v$r3S=-G!3_B&lcfQC?$GC8yh*|Ef$Ap`LXaqi`eakM}|M-4K2UdM7^ z_d}I0kCZV{&e#S&XZqM#4|3Xx49bJ0w4BOy+X*FO$JZ40*9)lUcff*WXN##<#aRuo#Fjb&9XGO! zc>qcgnUpBWW4|D(jTHOU0qdlq&{ZfPs?EkAhet&6<+Wra(m@ zG@zn#-BU-HC}i=WJhTu4@&!I-uqR#jls{`-iF+2?yyu=Knyd}nd!(W+og59GWBN0m zjK@N%d;aE+FDelD(lK6udyX24f_MTqjJ~{S709f;vbTVlgno(*&1zmJCi%XxtQxJO zkYzj@xyy|@Sv#{v?pMn%Y>1Pe5DeSLNb2ZUW6xDLOu`jsBFY4Z#s-}<3l59yN&Ur` zjECOD-o1NkV8)`yfoLZ%De$y5oX88{2z8?$F)@}W)$rRbv85b29AO!pT*yOxX-@Dg zV=t#Lr`gBu_+mqu$krp?_GrdUZD7$wAE9T zUiFN5!)Lr8J1F2#-KYbLjA(bl!iV4mQn)oLsZLNs09qL#yFiO1-Jyem{D6M1q-#ls z3`!qihV*rzcx1a77B(h89<8mzq_qRiOD|1z1{m-?Sfh*wn(Hu;!eE(>4>3^BP^NWU z9HNRr1A`hjW@+qHy(`ToiUN#efA9bAn82^;KU8XaHjok?`~3HLKyDCyau5kX zfG6_r(r1)bm(H{(h`%@X-dI1by1?IJdc0*svB@N=9h$H2mqjsDEHKz}b24)X^OWI2 zBBS04cYr;nC{2nsiPK+cGaG_IcKU zrY?UEdzb8(S!$OHyp)ZQUdImrY7doZX9ejagC2~D(rud(?rNeHN!~>> zkdb8q_mm)t6w{9eBbw;T7?(OD$Tx-Wp~&?M*$nGz=&^B@CuKH$2t0I{e{~wFVD@e@WPWC5PG$=AG5PRz#KVWbeFU+qUE5ACssbIk zm|cuaciRl+?rhNPHkj<>X!0ZXM^*cI!8*`%0U5ssy)`b>G0^lT$O&lfJSrqJ)?lhc z+d3ley3@XHL?P!HiM>B~rUo9PcKyR_wf3w%97^x`-kI-${5{^a5#kacKo&){TYj3b z7L5R1oO23gL5b@%$SI`W`Syfl6T;Z9jbi@ z29`8g5g2SY3PmM|xE@_ha!dh9dq4GOc@~3?qca<~ZHGUo%Akh2C;^wQ14Z4d>d9Ec zmR552k^BFRNKfu_mGf5P0yQ|}biW$-)L)rvBA)pKzl4hCYCQ;+|ztTpDvz-!f>0k0}t%AQZq2nzz^qhI@pl?pan{BbXFg zBj$A_qQbYjq&QJ*MAJ>Sj&5WGHuv{htzIJNMjA>US5b}$fvhlpW3I$|L(uU!aIw|? zdMLIiLSG7l2h5Ja30o(?3YfB+L|q_SG_MFQ96ixZCCTb}x_B#V9qR|p2s18B2A;!= zC{T+DrPjRXg6{>VAcUY$ZTc`)*GQyD5;Z{#lzV_n%aK)385-JYS`JEy)%z`eP0USD z%G-mtm=FN5isF*|nuU}Fibz`eg1eZ-wZU-^E;STLL*P#Xh>weSBt+@TY;9oPIdVyP z6Sb!t57-h`cr`Q%$n1EhpPMK@8=yLHp@Xtba=UxW4b`))44W+%cpFK4hkZXZsJCk8 zukf1JygEzXc%j;QkjEB(hp@@mpv~&s2r&Mj2*DjGPbe(X0wM`D^ap^h-z}pZ=9C%A zxj`pzIm)Y6d0Fc$upc?8HLhm2mDqI=TgR#+5cDz^l1@v;skp$6fjk*aeptN&doU78 zhHKN}{k(YwOgIm`oHs~f@r}m~*-P{~`}rX30&QLA03V_akqFOvlcIr;WUb1eZBnks zfzvI4WDJB|;GN(!v{Yr>p9?>M@K*rA4lez0+aZ5F;sXQ2(jtDbeqYzc(N>F*#{+p8ND)j~I5R`gk;&-(K*-T9e$~KHv z?TnV`QHHjb!n!V^`W969&z;=+bd=&Es*l>g=qE(=8C%dW?|63UsU?_a&8^qpN?lH~ z0EF@WQ#;l2cg&VTX-QfB*Yb-kM1^UfdNZOeUh0$1CEyF3zCm5}DgTpH-+$pXAr8!HbK10Uq(1 zG0hoP?{BVa=BB+mv)QGN%tsm0Ou+7Af%koTu?kXB5DOyugc^;Q4e$YGfhTCeUO}*r zrFXvOcikyoqP?nro-I`SCBxbf4n6(JMK#OjF{%UN3Bci=qnV>JLiwj3>ds+~MzyM`G$(fM2Bl#2*Jt4D=#>74J z5SGffzXueu0i?i1n%c8!`O}pC`URVUn=M;4lPrR^LJx3SqbeQwO)&iBSle zMj%vWTMb&Lgjz*n%~l=a6f8aby&$%J1MPy}(k0=jTAVD$R*N6zIUcz@u-W)ixjG|N z9Z+jJA^1=@EFd zh5YV!A2^Czh4F<{7>rF~I02;A2g|swX~Kk{PM+@EFgiG*W~al29RIDSZ=dkm+8f2W-Y8F zE6E{SQd=I~dYr;*A>LNOh2fedCKVoZ9pK`Zjzrf5dIc>Q155E8e+X0%j`p(v&Qvf{ z>z3&n^Vv9x{;SlUmeNM@HstpKgLsa0nf1*9`%k@oywJxxAEz^b-iKU#^PPkl$>~nF zm|JxZ)81xG%t`+n8viu~7khA2`#%m`?_l=}=ED=vWQvz}4Y<<PWB?@Cp z3{ATsq997ZxOPU02!HkJ0?(i(uVq;=K>|n8%X{^!kmQNjHjMlS~f+LGMDn!yqi*tXBUp zRtFWmu8n_3y|IxNwscY0(&eTcl!D%aIh9)ey#nn$h6LC?gt+094*}_hniWDQ&~0D9 z!)Q@ysexIhvDtSUT(y5Irx`=w;nUjcRO-m0K>C8hiZ?VX$L3qB!qLrFy^ckJurF7G zZ^Je8;htES>=_o4Vzib#K9``Gg#Pt|W%3E^4XN+nL~W z15^l{G+&3*0u{ zq`qfSDmka?m>O-bY*Pi_g{S?~PCpg9C?*4^DB8tF$yX)>)Q>7kZ&xexYiP-JAe|_S z(nK>BL${8KzWh?KiNT)z1Kuvd=4UOCr+T&Pf4QwyfIbA6ps=u%T%bBY&> zo6(sl9ZENgP>Fj<6+j)61*I$1;3+*I&hdcJoM*BOZtxDrE13Igz_P&%1)vgi8g#9t z+kXi!gWc+$tFWSC(WwPF>dsRO{A%Rni%L}D?|~U;00*ifcNnLs(I4cWp+vM|SY>kO zuZBgHkq)=awoF6UF~to`3g(ge65@jZ$CN0Ppa2s;+tQa3mo8xN(-@wh7F9Ggl7&ID zx?pdW4~h>5g>`}J4{^|mV3_cy>SDEVKD>BhiG!V1&3Q1#qfLbrHWj)HM)z13)!c2_ zeZRbwQ0L0>yw}ER`vTyu^Vq?)6qdqI;)3I>o1@G7fmUwwXKBH_F_5A8JBcaAiQ7}K z&cve1^9(=COeLG4djDZG#!Y8uH}gSC5a04A5Rn@#ra{^4WH=vGjdH+Jjv5}aTPg8pZC(Lx@qEnz+ z(b|ZiD+*VWrMh;u701h_tEh4#lFZDkIow9Ey}TB@jMy!p7gO?fI0STv$B)qZA---K z_?MwB_@uD`dRMah_r_W3@Z&W1-ZbGLV;xW{kC1sZ&$fkLG=4a2+O^6#*^+U8mD+mm zyhvJHoww37rBGYHUmn%QA@Y|#H&IHM$Tccn;9CsVFhz;w$1v5>uBr}0!NmfCziCK3 zrpel@vodIQyb?WRt?J!Z7w1es&L|Tx3(1$U?RA&9Z5j&>rsfr$;YX z^q$^_QPJi_7_!dk$QADUAZ8z{)hmj47kx=TG2Y50Zh#xgb_yqfpYAOcTQ_i@csJ-Tv@WinC zL2h+U4rT-Zk{L{`-dRt|W?S24cuXv$9IlEsc(B9*nsuYtgff5hsYr=BysM?&^yH)? zAI|)-W?FOg22=_gP{{zLgT>#HsnNgIM3ZjjrQ|L%LJ>?fW@N*#Qy|Zz&C;q1T~=fo ze_0&3NaoCmnT``fq{L?6DC8ld84Cz~hy{YKnAX(L+G&IIlGbqmZ^WW}JWkvpTr8nz z0XAoh!^&XSAM2q|-Rrh#Hw3bQ+>BMJsV4;QELhp!;sbCT;A4p#lXwP9I^r7YI>@EsN+aS1B2nSk=tLnx)S3|Y$OmW%r71S}=cqX!nFih!9W zJdg;8@UUfw`EpjyhYOqytstp=w$ILkIk}m9b2;=$@m8BmAp|rE#Uqf>@No*?BR#h5 z;VPf_ECWa-iG{yIcQ@91#k#y{Q&r?=%@u0!!NO8??9O~RzkN9G^mM_(LPNKxuaKm3 zWiDrOZ2Q=D#4|{XacY(zfuZkrPzvK9<#h*ZP!dcR-cM^J2!uXT5UAHW+~3>i*5Wf% zc)XnPY$Rb;e(_ujCJpfdgYTWWcLM{0*gZGIpbmWq);CT#HB(rU@uYVqL?XPeK_D}- z1ND*x!D2bzd$~q!%E@c+q;Aerqouy-+6tDmdrQfw=KC%&#=d2m82h0z+qF7Bs&?-n zdyf+-GQ|n45f!1IC^BKv1-EDjI-W2`oLjEu4oGRwe9N4h+6gY zBHv;n++>c?&6&PfVv8+Jg~o5SS$Iw zsOR`!RNI8S`bm-}cfN}RP+{%>G8=cl&86WJP$6Ui(?gjXa#4P`0bZX6*PVJtD{@;r zzO-n~-RXcz<<;eEDK9BK6XOk`OO1@l@kmT+0~iqKjsyH7{}PfNUKt11P8VV&o&q}E za7;?RFr_(HjJJ5+p@zGSX7WDmt0l?<5c-H15v^(Io6i#Vs;zAeSmjZcm#fbGRdY?6 zpKP9m(Nuo5t@ikuYZd=-L6~}lHG^lP(u{?oAsTwTfo3CyCV`ra%--U%ShcULYAhHO zxYi}+^i1tqTo-|(^hU%&G3j=89H&gU(m#FSf`k&tIIZdAbmG~WSU*o2>ZySX^Llc4 zcDV8g&J6&YpFx$wb_fL#HZ2h_sA+2NCfZc*<4Ni=|*!msuDDb#^Of! z>Y@x0#njNtZB#E0)?h{qF~%rLlMcvPKLW~_ zWMw=%VeV#Lmku*2gh@0pre%H%P4xHx`AH#2NRa+fCx-bX?5zN^vcO8b9+emjs9mr7 zvwldx5+oAi?x+EuQEU(gvcBE2`2fp=`R2jOD2<^Yu@6EiyU@nSYduWsorNt>IW6|o z>K^P$-9BUtbXv8x6xJ*oW9#@hx6o{db-_AUkob)bH+t~0vQW3z{@~@kECxIa*DM*E z2#{c_DGyj7@@Jsi49&_z_Mo&&X=fYxmZqn$A@+H!&^xMkGHj29+%_HN5{|qjek3M4 zfYcPCk*MbQV3ltdt_f09@8B0?Ip((@h)-qhYSS*O?q9_4)inOrayYqjRmq1cy^CD- zFet|`NogUjTizq~V8x$2Qe{MKHgxCoezXF~l6XcSSUgiO#^yjPqwhK6He0jNg5ZFb zzUdBsQ*a0_ayR0k6zfi<>H$px4+x%Sk>zejB`6S841iRzgHLwEau`SYkVOP{OyUi& zw{P&0Y%bE>qsh^K5zYg&#IxoL?Pyw>7jPXJH8hz5F9_NE`L%BtCUW-jngW5RRDtvp zY(ToXmW%`%Kp|U!*^vmIUn>3mn^(Uchp^=LVfDx5SawYl;jNOHkk}D@;gaW|Vnanr zx&@f~Fqk9R(FNP&QoDm|@SG^YFE@z{`3>wzFjO9|0UtmVh!0WJS2jOFS z>*-}BVeY<$9!bkIP2m_#L#lmRW1aeseP$3M`NSbtrf&uMV7J9g$-Z^fc*Hn!$@DD$ z@#NZ3ch~z#rCo1HNc8EgQ(FJ1Tlxe|^8dF~&(?jcR~j$F5G#dT0{YA(od`^7FlZUz z_GIIRt_FxQfg}BJbWe~Hv^~2HB8&-*0;%@=SAH#cfK8$*Af?*Alh@QNxPDAg5}3yi zZh~kvoPwwtEOkY+ydfk8WQIa=&~oVvUk*RbYf&kWKdRVqB$<`v#5N%Xtp@n5NO<{gc~#p zAGS0p^1^ZiY-7$V@Ca3BAq&JOWW7Ljx3O z;3Yjm;8xebJNDalELDe&FwP@5o^i~nG)<_*C^g>^D=?E)PRtu<#A5aun!a!Clju6= zHXOv+bj3K$BqY)ivWr_x`^4^&98m-DFpdf!0|MiPSS&kU7}%J&&g0=w6+AMbelhU{ znB3@kof~BtG$Rrp%E1?qb;>e~un;>yAGF?ikd}1a={UJN465MRLqNE(m$IUARC$j$q+w)d-kST z)6&WHp6VL!>T)B#>rcfwZvrxhTg`5(l_krui^zANaAn#GYT077Ytd) zuM z8vEa4J`Rz{`&f)9mrg;@yNreqj+d^w@ROJ??};^7PV%E!YS$aN5Qm_eNe|qfced#_ zvW%enmWB%O01mkpGm06_G2Sb=SK)+*VQa2kMHMB4F z021kAnbZneQ|CAd*u6j-BjHk(jnn>mVTb=TQ~1#8#1C395~ADzYz&Wwo;n@lJ)3Qt z^qo^xBr#er0Rl_s?A+Cm6Z*ceI1Gl@8@&{%qd-+A=} z*&p;sQ+%C&T?VF-XXG}4KJX*z{^zm5#iC>>mN{R$2-|RcY|T=MUN1aqT<^iQg59NW zs7EGFx`B*fV@opBr@#p)e;O=F9y1G&LkJNrC&)hO<8Jm*t>fk37;=(&$8<*o&?WJW zSYKO1+6Zj*;IJh8nGf#`#u7*cgPl=Ch5E9u%|=P5{;UaDS${TGqzqAXShZrI<=f@C zo`FBjQlXFQiq+d^VF@0FX4lXN0qb>t()#}AxW&nZnjYvRbR-O908Shu-DtXkaf+8y z!3cyy43s(|64}Cu&eR`09J#B9;jxXk@P5S5T1@;2+0rdeFAk}@i>_gmC4R{BU z)6ZZ@90thttdmI~(c$ntgGao3fHR`-n0ZgU_-FH0H(U~iiGxEHlf zOZq-f?l35UYpddnaE08Oe!Y^}Z zZ2@KR8Q;V>5Dh>UQ8IxHK|zG-a5SSzaJ);*t3AlbVxk|T0LE65h}&vc^mF%a`F8kb zaWDfOexKbcP=F^WznMa^cXQAJEq53^S){vapCGASOt#x*CXh7uRdJSEL9hhEd> zeOU^>>yPlvex>7GphbNFj5X3|k@4Om2cu+$wsVgUqhA6$squ*`(gXo*a%}gp92k<&r34N9YJE28+;4b4q5Rie0Fyhg8aMg%H zHx$OXPLfhD^CVy;Fi?qjmi(+T*Pi3~#>_PSrMAIBy% z+IJfEoUm!};41aY&AFkw_mw9h<)ly!Kq1>nCjwJ9$zCEvj7tj9ASBOTF?O~af+JyN zz+#{t&9WH%DQwJj9ZfcY>Yr4cM{=zyaz*6~f`cmX-a6A(1Lpb`o~n(ego zEd02#(ZK3PFNiRVgd5@<330S^5Zjn_T*pra>jJadjMao*V4awyP(~Nl5|~64po~|; z>+&b0g7|NQUEYKQ3Jj%wULW4+?pD z1VPpoc0>!+g-oyxdTk|PU&3CNOoKpPF!f$b#8>u%_)1s5#teEB6Bh`#Z5X^UP;Oeb z-U{-Hw<7Q11WjN` z$vNF5xD(S^Sis3lK?~eeN1PVz)Tm^G$I5ySfi#D*VaVA$yG^COJq-?sj=?V}A{R{f zp}M^#uh}t@lv5BEp)2|n)%{Tc{Ga^({Ap@vTG^>eb~@9s%U9kb)NL$`E3jAHV1Q{I1j%7%|LhIN3ZlZqLz~#M*1QRn3V%ts4aQKw zHk^0Sst(~J!f7Xj?YJ5_`h_|h0RZfEL*7-1A;1FJDink&+i6Ex)?I(=^}arl-r8wF zXB?a0e-cAoY{U7RnJs#%5b0(iz&Nf1{wSyr7{kfY{Kk^Fq|tZ~O$dN?JxJ$;7;>t^ zJ8C8cKXY6Ly0GUNDAItAI(P~TsK56FLhAk%C1kRIjnA>?rz-hssOm(2%h)muPWPTn zTww^c@ySHXQnWrv3E*JSnNrkrDU4weZY7j%I0+y_+{`SZw)8GN!WV|1lcW$pKh-kc zQ=wl(Vugdu%upzSqys!7yyTgr2CvQcM(KN9&DnWf+*?H71MzdJTc@ zF?7LSSnDHvn7+8=8V68*sO8`G)`NBDct#F-z{X)9{f()${z0~twnkFjZ=H)Vpj-lN z5yULxmCE=}i}GL7)T#OIe_->qW&WwB*gkg4y{A)CUh_JPIxN|{_BWvv2lg$@9SOr~ z_vQ?+kZ)5pVW_Y7ep+5vxZy|@Jiz^TPAfhk@7>iDfgrcYr>nvC9O%O?+PN71Z@%Si zc1%R}*nZTf!5QtIjf{p*^a?-p)ckwZA9@?F6Fe!-g0$<3`?e^O1hQkDoZ(xm_hgN0r&uAh0@j|}f`wU;}r2f ziO%|IBVFGDL2of`I*^Ca+o5|Si5i3#gpsm`_~-|}lU(ZvSi(YxKwu8caz7)C(3bRG zWyBmKq`L_YS+WRqL%pi>Obl&#tH`Hby{0^;fWFqSQV`|W6td9bh*d^RN9wLK83USL zuQfrvbt!g@e%#8LuJ->br!t)wZF0TGX(IW!%B&4rYQj!leCqc< zaG)hvpEnUMlmqJ0d5zfi@>TnJ(B}u~0u=t)v)2a^otp$0DK;YZMwcN(Qd;8p6K0%4 z_aIRwJ&5(wq64~?{n;QiEB%P^aWe150Yavmn^$f6Q=~RvX6QrE0EO*Au=;h}3%trq z%PFIj2-Lptict1OGyC`h)v4#OaW9LHcJAMnR;Wn4CZg@^_Z?u zIHG?Q)+4iZ6fYuhRPP-n73rZPw#QJHo#hRvCr3&P)XtK?yLbO%As8p-ew&D;=ge&! zbcSf#0~i9{oQDPBGLwSs6vZOxz@mbrYU%%7ISs=#*wVqk^EgA(vDKbPXoqHj&`u)y z9i`=G4{civn%e3(QEX5X(M^l!Y!n9ISg1SX`LdzXM+#q6&XC=-IsQ~k75y<7nkx7laXCg`ah_G^agO)3d5HH=jdHUw~{;?>plgEv-_bGC>%aU<5PROFz6rx z8@OCNCuwS45B}qti~|i@D@DZLVX?FCxM7Cc^n9bHuRhpjVdI{l1X3t^B$RF&v!U6o zy0tP#9sWg;f6LUe*_;3H?A6DK?KhQdsqrl&OrfUfE5^~|C8%vTgbG)GLDW{0*O25M z1aao9!&@YV;vnz~N`W+8F;s1Y$phIe!_1siD=2l!6+iih75VD5Ysv%TG}e^(TFiB& zYiCtu0CPkFI2ad1%l^-T=zS{jUQ^>jRJ>cS7BjUtN(sZkR4+#lw)!cb+(LjvuYw=A zE-Gadfm+=|4PT%Hgg1y0u=DW5@;K1ol3E4=K&$+eGo=k%7e?}9R+kC4m%HLqF!oxW z0h|tWZ3qm8C@bS& z3HORKYPl*>UR^`}O2g{H-#ble!kM)bh`<(+Zeh!B;y(4-qLZrO_Q%e(bs7w{35RR* zTf^xc%Dhl-;JTXV?3O~~9`abm^mC|8#7(8kA)vi{12)7=dFf!BL>t zI5AKc>Qw?ijvtsXgQ2&e90Occ9F#%?5`k0-bgZ2G7$}07pPJS^+U6F8$Iu+cb_Y% zRcI{UqDCF}zO`OTn1I6q_D7y-??oG65Tl0k^5G>QP?m4W)W%tGSp;{`H6gp;IHK`c zrtEDMxt6#2Bq%6`@4G^c(KKl1G)(uuS+?mo@m!m~`v+q^hpv30@r*lHZWwz-weBma z=x|X(3B!`^mMTKhg^Bl>=)_ePnMiD*;lnH0(IP}W7q6D=H>%dCjW3imYXeS>S_2>^ znLg-NI++0w302Fk3}QdS`G(Ig1rrp7|py;T#NMJ)8sk3%$N?K7@OL`|Jj$9WI|f|<-eGwXm= zps##}tFRo!iYQFlek0tRZ`xVml=byJc?9FP*=qk?81> z6-Fu63K|1sZ>Rdj=@><*f&2t{K=IRV&@^CZ$Yg;Qhz9xjGbIba9FT`NLAu)~g%y5En3Iev16YeJL~IX?;RWSWYqFi;V=nX1?WjBNN~;>5DuA{p#T78PF3$QcS|&{x_o_Zj(T*Y zbOQANTl7*tswWDU7v$2=AK-}X(r&Yh(pR=xeWn^4#WwD~8_oP_D}M+k%b^9PEndV3 zX@d{nt_#NNF7)*Rgkjl)Dz zvE9qL)Bi`y!PLYaJ3ef`z7)E)^~`D?J|`G|v+}-|KUjmU*2l)+AgZM(OiD%Fbak>3 zsm(}MY}kcclcoa&J={PIjDYMD2!KkdFC1x9!GjyMJQucY{NTn}H$7Ce{p!=cb@%Uj z+?2A<=p^aj78j9lrmlS~JcDc~obpn?;CMJv1z*+;YUj@#zfa;F=AWu1k=`*k%h{L68H-Dhxf^RX zT#Y<<2CVoj+B6D-kl~n5t+=yIPfF_ZV^Z8@9Hr7xv<`qzXVbz1?(y!2_L8I9-F1@<@%7PS?M) zTtWcLW_xJIKi>GtCC_eO?=-D`YVVkby3ic=&Fm2`>MFh*QH_5^kJRBI8=gJUCG*R0 zi>Db1hRo5;tx{VeUw~7zvkX!?cp(Q;CW2Ch&X`w9qwd5lF(eBww0DuqET8}HB03}i<21;X-XIZfN+B#0*V@ufA$p#AE*rl zl0`ewcDzqzK*-2NYtCAB((k8k-*&;|D@S_V1Q4DDiL)j8k!p|ag}9LQ-Gfl|w^!{N zwc)yOPE_EQF^(S*H5Z)%R{-1L64Er$0r+jrlooimPuV`@|AhtI=`mSI8M}RPJ>#*( zDDuungQAoGT##g~?I@8BPy!CnMWP>gv(yE|09;pEVMA7l!J%3evJ?3^F5@UeWRCDZ32GjFkTUlb&OjtzLS`ixVH)KBmch_2#XAD^Z;`?N0aBxe@iq-{%%t z2@B$OXxF)?C@fX;tKzFlqNJ(Z4GH4evz4=d?B3@N{h>Z@?rAUI_V&+iJgS^SA*|2n zF0j;u{^Fb-5fI1(2nGJYt|TKAIvj<>1qIPVk3Mq-L;(aHbnVl-CXn{n*DpT&$=V%{ z-2eC$ukJWHCvym`$o)pYNe46IUfR+<>t>0zHT!EuWr!0#07w=8V4-UHYo2num+K5* z!1=ezn0l=yl<(n?vYZd|O4MDs<#syLUIu0G1#eV5N<{wbnhy{CI{ovRdzU(V_iw-b zz((Za^WcYr-vgf7a1rL{^yUOeD`6O;_n!lSef0-+Se^4xAU9@{i_cEVhz9Z6?-n0E zyyJJ%YnHSQJv`>8E#rICfr`pfG6^rcTBOY(~#AfxTO z(7(q=URoNdMO*6V<=At4!K!DV4}~Xl_QS_c6GB%fB{gs2l>?kE#zU|xjlAXW-@el7rBDK_ zF1w`!UN7$Pe5Q>C*&E!ZLO+diVVHF9y}(=ZQY>Ktvso(MKHkooC)qhUTB5YvA~? zQJ;@>F@b9eVlv5A>9Y}bbty2Ivd>w$oE{nUz+g|#dHKD(v9V=Wzd6=Z204E06Se!r zP`MrP_YD1n4P?!E`i1e!-Rdu#?;pre>yhj*x09I@OS(<=+WI(S0Oyabq_-} zq+vjM>iO2|X0dGj=9Sm3?%$nn#82;=-BK_@->TjheRI_Q=i9LdMx#-bKeGGhV-Gw~ z^n<70{NbNJYEgGJRX`{Es@>*lFsGY&WE8cH#Dfi_RJ>nd9t&;*mN-F`>6kZvern&e zeLwzj@^8z3G-20EnKuj)RPNH+l|z`sM%r-j92hkG=T0V(Xg-K1B7Z>i-EhK@FO#ocX6Vs)v48j_rDVBnJnf z6;!L|`yse^CWln!PVCMlHpN3*yg8L{m>*rNq+H~ z+Bq;in9iIZ{gSbz1*-WMyB0Tx#vVEJ=GdI>ectEBo>uQ+_@WM6n%|NDCpiHmU1x*z zHQiJ7Y0OJZxv8DCrA-i)7oY4qi7<$*ISQ1scwRYFl%z2uLp`^xud2d~=%1HI3)QNx z7GYPqmOO0UiY?d`A2o`%TwoQXoht(ou~){%4&V0iQ#X$N z*GI3Al=yj=Lk%iJxk6LQVlRVH$IhGiA4{+7dx|;);E)Q{6onTF-32Je5+cs5 z5A06ZCUu7AL7IBdf$Al}kv*i>xNRP?>2)#}WG>i9uM@x%NX|(w(L|vJ+E}%`R&Bnv zcqSpU#@ER%!hQ^T%ORlNAA&+6<6F~-m#q)|ANqxr?)_Q?$TEx%I;br}`|(?G(e%vO zMgd7xoh4slou+Vn2Ql`gkATxoYO_`rw}QES0|-k=Y<_$Xe0jqH1(WR0cx(N5%C!@t z7YpE06$Xm|4!N6QvBRJmHUn_#KMhKkp+{>@(JF{^a%J;2y)i^E1X1|#O+7d5KK$0K zv6~?Nj;QV*7ho6E9#7t!lYlDD#MA>2+mNFTM}QX{#0EO5D34jlBKB^0de5vmF8ja@ z)mMsOsPKjGmwk?)&6==$)j!E+LTiB;(LFn$?s2Y}`+IGLyH4#7cx{;P@@$+^Vpw$q znLE@2rO1)PP&J@BPb6=BYR|%wqE1+d;S)n>!;>z?CQ}4`TnA0WwTYrgI2gHtz?YQEDr+;&34pzpHK(JfweCbQoouDol&&Rly9whxWDITtS0YGaf!Em<S*3-*a^NsCE&;vHi_7~uD>p3(a>dj0-jrdeiX?n*#3&b0|Fzai7==mJ%aI?AX zbi@<-TBt#rb1COjzaRc8pG7*pplLxx2QT>yh?JBG=tnt9 zsoqH50`=na?S(4!YEIP_qoA60i<_F>|Ly2xLnW^{^|~IC%-;O&cl)H(8)rLjFa6J| z|D{Jj8iq?u`;HS+NLgQml|V7;ocR#*?dfC}KKXtES=Io589tO@z(aRrEvughC-EHI zx9A{k*l2z-ed46uJKkD)&p(bn^Suu~{9g&sy+XlR9~toJqn~{K%$laU(HT8xeVyC<2_8>Q%41LF9DHr%8o3+24I`KnAVv)U0A0p- zXy&|CC{UdoJ%6o~EYg47OPCFIpFT+Mcu#04BKuMM4_fGos)eIIlg6aHrL&4JEq!d^ zq+?(Ipa+VWDJ2ys>KD7`k*)&fl8%|L9zAyw9OAoftVeHaY}w5Jlw%C4-QP_VtJHtP zQAzckmGIQ`68vaGojt0=8~ zoLsZt01dX_bc5#9_B>U}GW}MRvWKN?nQ7s;MCI+j)HQ)X#zC>|C*HXEjSnZE@oDHJ z`^%Spl8uoR0HmrG;cm)&5{eoy6&ga+)An)Yn^&zXOhaP+&1b9mu7~A7`$@5=5^Kr= z3k4y?1xXDIU7MP-h-uQr_;zk0E5vmPv>l`Vf@(%k@kFDY1y|VB$nfdgW zZ#;B+rPzo;r!xjjV%%gE9b`aZXA1tQkDiGdyUyG;j_H=FZ?*(7|d z0askQw-QQ@4-QvdchkK;YCd%R;hKMZG$*4*x8zr8JL$`6v3LPhTA`kt91N(F|KiKt z%(rn81N`dV8yjlJ4Zw(njNB$}E8@;aPrQEU$ko5to4D}fT?Myo96O>$TS`i3kk1V1 zHdG{@L)tVdy^sRpJ1BFfNV(!VXF$(FM4mOcOIhg=d4a)iZ)O?rml=&l+iNX*$5{Q-K zb&0<$xLL)GY**c{TSe-lZ&qT2mMVoxzdx`jy>n~P?~F<`HuwFo<@EGia{gKM=jHr= ze7y@`RK>kNKIiP&&DqT+IU6=%6CliPHpwOsTo4d7$ZS|7zRCtdwe77gJ}TF?0)2_1 zW;O(fs5SUt?Crg}ptn-n3jEvKwH0KsR(ow#thS}CLa?oGCDdxHLh}23=WI~#{r&y} zIp^%0IrIF^_ch=7j(KqE=BQ$K37%Am9)in-Bk43tzRRy$-4Kgn>6 z=#+(fit@|magq2#A_GJTwWroFOw#jlDI-(CRT-lsFkk$w|%9 zaE7m-*3-Y3`qvImOy!@R+v4StY$!G9!U=I8T}VUtj9pHoD-7^W-Q|I&6%tDS7X@1h z8ocw&6rjv}dEY%B&YGN=aQUVa6VCcshs#-)_-m@zCF;oZ>IuD!uRd_mFHk9KA5_yk z82@n3yH3V{2DcxJ`pd&K-8gaFu?f zviKa%RK{h82BR?d=S!y<7f81^Q3oo*4KQ-q{T@o;&e2?orLjBUXscPodRrce7u76+ z`=*?e|NJjI*0b37H_Q`zF{;)a)<+kX!Q{dqzL1*D6Ij#8-37a>rB0+w8l28BSD{Dw zDOJK^_pFXfY#-!(*KAt+<1L%v+Q^xYqcgAky`v8~=sHPT5{mDxY@?}W%Bp8?UjO`A z&-~0ZwqG=Qz1D1hindUj4E!OukdABqq7~RG!PtBEAeOUTBTvEKHU>%1nv0tfty@QLms1KX*`#%3HJJ?p~m_ea|Qa3g`hrvpHN=&~Ce=kax`$fMq;DNcrD zmNmVGmRY>zFsmGDw}@MZ3BY~Ha3Oh3<*;T0ubn8_!e{n1HT6$ev|)H@MdgVo!0XM|`7>wH3=N~w+|tCF_4 zwwzE_SQ#^?y;9hVw~PPkHZGz6bthkUTS0Z96uh zrWf+DTRJy0R1a8dP(Q;Nt)%z?R5j!7>K=dsohX4DFdoDc&<*1x=*FD;N7eD;V~4*# z<@nF{y*Tp6PY(>SwfHVk0~vBQKEt8 zvhFM9LI-|G9YGgJCu=>CLS~{Jw<2=)-&Z!&$C9BV9Yv~v z_m0rZHMHTu4FS&aAOM$WiO4dcF#%45$!QrF>kcx|fDx>j+QVJ*t_un$+5()lC(m5Sk6bToe+r9OMp^t((Xl=8A`z&49`* zhKM7IhALwX-FvMz&~k~m4LM!J@iD;;wh@+3YpJmRiE6JxoJ2JF`GXns)n`t@u#J~w zn0Q;Q4fP>Lc^d}ldj>cGS5vv9@;0iFyR3l+xH;T|y`!uDYQ0sZ0Sd?kkfHZf2#I4VNS0y(+ungVA!Kll1$2b$4yh}LN6tXDVknQ}M@lbO zpqhf<7Y@fUsvK?`LO-8$h7v!tYJFy25=Fw^p7v_9iwlqgUK*LCB??hbz&(WH3Rf4k zYftP9C4Xa`QSR_@hBtlJ?&g*YipXE$uLQ_3w)(vnet{n9oCe1g=RmyJJCf|OoWW=` z&{dTu>S~A*)1b=ydu_8?lAYw;`lU8+AiExBqDT^fRns&x4l23r zdrJ~Fj3SClUw|@d|Js%ob?=#$zvlF+EYdaM4>d7pcLipYT61e9R!W;rJEc(kwSTfv zSUG*N&ro+g-_W?6tWI>`?hTDd!4M#vvHQ`9Z|z=;-Vm9i^Q(<+mWU&>pi zxM^`AHQ%?!F#IsRTz!(ZXt^cjl@dLqLf@&KcE^F=1=Q8=hs(~AtjORe84YN<8&;_B z@ps`9fN+-g0W7`JWVA=8G#())Pz*po+Cx)_*KzJxu{oh`-P>4(wlG67YtjY)9vq>2C7bCiqG)vDiD;?xss>!Jx&XPSfP6((ram4b-T zWs$+^F)?W>&@Mt(qF^ByK_LbZMj&SARqmC^t<|Oh$)nVF`aM%?uAJOT@}Ax zgwnfk6(-GIgpgxn`<8|#gX;<-GEpKlA#A8Twq>T8{<8|dWm*CT_|+IEEc#Weoeu?k zYWACPSUN!mX4+vma6Sv?B$BTu!kFB}m_yH8wn?eqCtFnUh0j%Wa1brq@ry(kkRnP# z$!6Mb^=0swp{NXlY3ACC$I5BR&Bc?313VcPFeFAiWB?+Zx%-r6P3>We9rF6K9-vh0H?4Q4W%71-OGI4>4Z7A2 z`7F zt%$fKzA-X(8~Rg+IBGoiP-CC_OTs?yzF16Ue$wI%x)@KwR$P1C)nO+4yOscZS;BI;C9wbN%#bWVwTFxm78FZM;>4)r|$1kgLxnOAR zYt(nXZ-%@Q+^2_Z_S;;=y`3N)m_F3{m&}$4RfWOdSxXERytvh0I5FdN6D;vkXAY+U z#)%9LRKHI&umOp~IY%I2O3Cp#qF~}tTh@SM7cs7C%k{0Tq=&PxWiP3=&1i1J7KvI_ zJSSA`%>YCiRP=2t31}rA7ML%0PR*}r{wjAv33OcFRD-XytW?D-&YYog{^mF-M)mNJ zbqVz5y&h|>ND3X5?_{;r%%*K3wh&YS3PM9V9`h*UVoo~JIw~% z%#^`RvZ+CcGAZfKB#?p*WT%f$2HjsW27(qNl{O7Mg@6fcS#sm)r!#Krh}ihmyl9Lz zkW^}8eN0L)d1suGC}djVNo_PfcoJ<6sI_mx&lb>TWe2A$!Cx9$5pQ%F0(cWTQ4>2j z(aq?Wi~+{rwRgs*QVR%g>QA_+mFnqlPH!(WT&zjD&7@{2v2R1VZQ(j;b)EL!M7nuj zNHSzIKxMMqDsH=#%ffTVD_!KeNE(T7pUF^Q{WxNZ3;# z2l``KDoNsxs2ETs$0Pk=x#f}i=1QS^t%Nd*ckI5SU0q+mxqaoKb1T8HOQh7HD4B$R z_H&IHAwfKk2lXWN5s+EtV+Qg|%IPVaxOJleIQUk00q{2Q9hk~75;@_V>?bYQeIerR zSVidIEY6B7jVpRJCaE<^)QuTLTMd@B!jSqYiy#Y2$Y)ux1KbT0jp$uKNCdmWnvJ6LLhK~3p6l|#jo@#5N zLH(a)!A>n2GM_+g;N3{=z9G<1*cA_aR*T4JE{LB>rUSjGFMoLf`D%k_eSofGIN~Df zA2p%|yR;;FKNzzHpk$MvqIqNn_-8y>W1xKpI9cuf{l=Xi-X?)IAwi^>x05#cNn4`Z z*-d1U(d^u14;&v`ARFfH;NLAUx(Y9rN4T<>yP8C6L+*V}V9kL<~YL8fM!osG@Wz(!8eN6!<$<>q_0>5N{ zbYSRT(rY$_4Bm<#2m`ieM_uQj3p3B2@gn5- z>hJ`3x{p-c@zNIT)O+4jp&njVJ3*~pi*v76|GuooFUJR4&HQF_)6%G8@B^7DiQ-T< zgwihc;juuX{(26-%YXiuDyxEQ|+%u5^l+ZB~BUV|shaYq>I&T~QmyQgRN= ziJOpbVZQ<2g1r;XaiD>yZxZs2%3{M>sS~(fJs6Ly^RPIGk*b)NoI^BmqQ$Y8LOm<9 zI;Fl$`br@+7-%wy(m>u`?g?O}TW<_7lXSjMd}-R0`+b-3YCz4)Gww7^K}v$7bzNu- zyjyjl;|}z!v*Ptk0E62-nVYSp{YFrZZ5k`vLjLz|nPnG6k+tnfIWh1=t8hpoTnIN; zg_->UwoISRaxAd+Xgh5*jK+EY2umiU;4lq0P(c&s?z%J0Cl19;mQ(Vtt*2^dP!r8t z%4mApNl#VPZC#jw`OR&hCWY=d%{3aoUAc8V?99NJ-0i&@gozL=f??^QIiOZ7h&C;= zicG?(qXAP+jb0DX-fCzxDUGoQ=g^6+#Hzg;w#4ym-r?rn_mf?~6FQ45SZRLIiYgD( z^WP4vv-74sT-H#8cfF<%cGz+s6&ES?u-8UjTt=*KON&M4jzEyX;o(Co=r!#Pl{sef zE8eP@0^|ySgd=ygyJiO5DgVOs)p;EpF6d$0q26HF$!@R*cf>r*C>*)iJMLuTlVmGJ zp0sH#Dn_m%o4aQTFlT05YX@0mJ6>Vjm;f#U1vWV#k|omupz5&g6ctSKenMv~nDT&oD(Ug#IEE6*^46MQB(u!oT<7X50?7V`&b8S^ zmfZ2wltI)NHKH0O85elYgNpQp@sPkC5JXuyxIyW>ar=k24QwYwX<9v~Au79>v%GR1 zX>6GQ1_?`L)HGhb)QAFsEL6v4`dV6$5Vq%>I!OXbaHDHnKR{|SN@PoQUt8BkhE~}< z(XxqYBudlbi&mq6cF{*Zq3rW97S&NUTd41V9$jqyZW=cbE?T@oS~__HUNbo36wP>%3A%$x z_`Nw@^)8%JHkn+p=>Q18Y_OOZr&}@jXrAPoRVNsy7UQp!5&O4XUA8-ft`U zFTR7M#aZRykkO<6X0T=Ru_)Y)g%%u~5C_t>Yi&DJ6{L~pF8xB6 zWN&$L%aIzQt9m)vKSA(UQFj}I?uHQSP~G=8MHT;M%@cG=-upz#ZZ>n+>pA3|k@50_ z88(PaDPN4vW(|$t>ezLEsbGT+3zK92KD;tb!Om-EaY|MSDXWMb&PI;ySqi0C4I8NW ztcEJs!pkmT79BXVM9p#)?F=U3u6Y`oHY`?1iF%ToD=buiL`_0RfO7_yv+u6@nd)L= zN*T5iI$s0zb9}|^CT~q=|M=aB%I;2_p?d3SwuyPQ zq*Y)-F|lgCiRFoZOligr)C4lhGJ$_X^Svtc{QP##>oOg~5#8aEC=>^W&fulkl2}%N z(U~&715~O-m=*TqKuKvRG*4rj8P6~SZ;L4BQC-J2d+_ee#R)IoIF39QaC&i6VNLNs= z>inuS}OX1hNWTSqzK9;0@E?73P`O$i9Vt#q*co>G!}zNQ5GYr4| z#J~DatrKuD2nq!qVAdT4j49}ToU7>5!HI=rvK(nJ?j4#669K5&d*apL z^{_!Q1RAvwD9puX7S@|#bioDha;Tv`CSw-In;b1)x3$@v29K3miDv_=G{Ot&g`?@lg)6iJ zSWll$4?^XQ=vEV{0u*iBv}rLq9FK+%3V?9KPp5g$fgY?iu!q{3CKZmY5*PcLm!e!0 zWJM*~gFxFUC}5VO3KC;WT5cXgZgEtep1IB#Px>eBmML%r&Owbxrd?BPhXM?i5Y&Ow zwCf;UIlshfoqyU=E6%T97>4;UqF74M6~)0DlBHIg6WhoJ<;QTPMsn3Sg<9?3L5%~j zL5Z+9+}MlW05q_CR@W6zDSC|>GEu}$ROd9ym_d;Pa571+0zw?1J4shbFK(AhT_KSQ z6LDtCp)@@)wr1?3v7Nndes}cV2RfS=|~$BXF9l zCi%Cd3XPL7{Lo#IP;2&D)9R($AC6^myg}1YO9OYy#^I^qI(0yte!3d&Z=J6RTLFa4 z(!e?!B&I@rvCa&b`GnfPF&ys|27Ao7hPCN@aLJb*K$O@pULx%Jdq9+G*bf?)7o{X5 z2n*(!&(IY)a|uCsf@M4$X?5fG9sb)#f4Xtilb4JcAEGZlX_#VR=Xf7w18Qxep@&?p zXUi1BFg`vp0!`KxU=ko-^tY(gcPmmgao}tggBo+Z8pOXBX7-f-Sxa!&t1tJ)1FHR& ziDhbRIrfvo2hf`Csu(u8I7}AdG|%f}G=%7`8con;%wV1+q%mV*YygFTivX1f2w@~& zvPQ=&v_kJ6GI`W76T@MS4D60Mj5_G*-ouAS)}7cncKGdge)#7Fg2tU-Vmt^ri--lW z1sqr{w|4|P2AWCV>zxYe;FBRrKhg&ORg@&yPsnn05&X-`Cw#=%G({|nWUf^~(3oIF45XMZS0I&fUMQ4Tg6TWb923Y@d6E{P=MJFBE zbfhdLS+!@+yCi?ueN)OTjMm!oC->24jrNY-bMM&bdt+~pjvoG?>>xE*XFPrZw;UM0 z_uYH#g+S&E?zGggozWJ+o_8`YrAYxwazGO@ts@RCl$O-89;tO|ifqq@F6@{z*v|RhM9hK|OClXEf7yMK$vQv!$Ec7go&=%6c}BA`g`ONBT@|MD~m%Ghbpw zz_pQ}m)JrIk&el8OI}ptgK#&QUDCG$xa#1VNP1AdcI@%z)XaIcO(AKzUBe;*1LzG8 zf=O#8y6J6{8z?iPyM2tKL?%7<1Y#Ga+o}Zqa$xlTxj`j z>Ii-?b}x-+$v%P}FVV=y)G#T)yv?|veOe=_4B0N^+le9wTj3`OevEtrX)b=eh? z9)zpLa2{jw-p7Bk;OeahC$f6~f$qHe*)x-x$VRz|B#`rw3qHINb z8zv|YaR-!95K`ahLiONk@);B1W$Z=!WtBW|#jjmL?ELWjZ^1R}Z+6tr=;fO9I{v6| z>nKQ{NL^(laRWitg~w6`^`=COMBGB$=_#aNX1|9ifTV2!O?vy{Y`CZ6_~|n?-Q9WZ zK#2)>(rjj@J%9D06NHIF{!gl1l%VuE|O9roWuMQq;rOP_M<7@ z=$+R|inR6lU>qIg2DjuqY6YLhbn2Y9PRKAMOlI)7n*@16p$Xo&kSc5cBHZ^4+t}zm zK3tO8K6dXP#;$=I6YM#leVGf~567?7)ol5pMk!Lj9-e>tfki>I4JTxVU?#ZU^7a|V zp>eVSEdQjzI~H@p1cX+?Uw`1X;FvFd;@iobg45{y6DD19;@n{l%t^mY~^ zzm|AfhxUS*WB$-PD{1c$<5$RNQ2=XIb<}ME{-WX!3V?)m(5400vWY^IVtR#uy4gG{ zbB0sctpej6L;6*s!QYqy@A%Hxsbgc>FlTfGeC$W7p2Wbsgjq5~TerghI!geyz@vm% zvhg1g#ugvLTKfE^e#!u+(?FmbYXnpoiFa_4FYCR$CaEjQ=*RDfJ+c$4uU-O zm6rQ&f_%;{x|%?tm-^y_+S^z=(Ps!Gs#nq1D_bWECfBpHWG1@>xt>IB7UE$9b0A!! zK6>68)mqm{=mn9Yb%`ts!jIyq9UUD$fv-@z{~Hy)!IDs!VGbqK&VeZ~0ATjr;i%CG zSl1m3m5OzfU_djVyxR#=X=F%mIsO6g>{b-XBtJ(Mfmh?gXTqqG=Vm*743rmcsT!+n z@FU~uHGFf|wh6F+0dB+TT39K>*MbR&p_j-V|1hvnqz)n;cSfZ^yZ4z{^CqM%!xcaU z;_;v6wq7J8Qgl9ujzQnJ*gexOEZzmO^F5Vbd|iizan0GdNsui!Ii5MYiRzC47eyR5 zi8Jl##teZQ>wy@l5j37n#JU0Rl6aCXT^Bx%>R_fo`^DY@>>Q?n#AWZZuAeGT=`($E zH3X#?DoS;CPHrKF)2F`l+Vl`;XzJ~$3GKL&oO*`621hFN5w32q!i5$8^uycjrzGH2 zy>@my1=ur=Df8Gi?$}!6s!pO??XIe@-pG#IE;g|amI>|OzYtA7bYv4 zv;*dA60PgU1vgM3k|HQLl7)Q-JzO#y2NJ+Mh6Ot2vNXya9{Xsd9k%9fc+yj(hfhGg zBsNFtOc)8{a=S{MHMLoN@m~ykvR2<=E>Rb|00GRo+O4*__&^;kbAr5Eq(DZHbYY?& zn9%cYA3di0!7m60dc zpzZY>wM9=dgX*nA4E=@u9)Q~2l@pZzdNW3rk~WCG#X61*q*Dy~;+=upI0nIpWDCcP z(_BgS<_6o8ZInk5WR4xZDsfTI3w>7Nt#5z%AK&@_BmtfQ!o8$5zA9SM8Uua(C)qdu zCMfT&n41LVDITqn`-#gA1hiI8h|R8{X*OfArNv20L>F2}dt_P#C~}dyI)k0n`wru> z_r%zjzq?kO(0!T{z{mlQP|!$j^KVPe;o$tKkydh&%)3(aw?#^0*+u@?6fGvwPbesk zw3N?@L`CsT%fr%w;0d3g%l>lfCd2*|F+Sw0+N!EH)F92!m7X1dw)MYm{g;J5n{?Lb z*ijWaqjEyM0FtI^$0-|{k^((T5gs*jpuJ|UplHq3OFW>P>9^D=fZr(&!G+R)K8k(M zqbIx#`J-z8R=93CaD7#|A#uh)n-|4Es-3xU)+C=rUpR%_-Bpj8RWGh`Ha_@z#nXK7 zte<`KxhK^r*H&Wx4ebCSCs%6D2)2g^l7SXHR#6_xeq;2+wU5x&^_Si`ysrocUb%fW z@EW?jETfHLiA#T~gu;bC2ucAs|=Xc_`FL*P#?IJ04H)rD)% z?#bVK?Z1oxFx>eze(go~NTlPs-Q!;&f>xRF0?30ADNZAbg7D}lJe5EgEDalQutf&% z^mLrV2(w{8Mn{hRleQud*H*PwQo)~u6Z$?XC?$%p)v?9)DO0&F6LJ6)BJ_q3g~SbP z1VYIxNU~tHRg>aE&?`vm7&W9+E52i-wKE}A{O@^C@)nJX!mc~W0f$z`FOivtm6%!U zJqKr1FoFR+>H-CDOS4Eu)WO1m9`(Ue>lmU!h$3wyl|z6*{02&e2R@a{hqV`NZ+TJI z7krlJSNsQ4!yv^q3CS+dMd?r71_7dqlohiC3UznWeMW^I2&8QYPz(hO6pr0j^>st7 zKFf0@wusbsDS6Y`jj+%Sy#}?H0n5&Cd#@uUeQp|<8T6HbAtKxaqVt1_2dJ5DoBxZ2`>U5YV=E zFshm?7;H6VbFysCSOxur^$p#q)8Oc2_n)Sz;r9)1HHvd9>>7Jz$!g%-V7!pLyB(gX z^oYsBMwKddEy4EqVivHjW;LMwE8Z!O!FS1;cgx#*JE=m*H-kS4*c+;+te{vbkUGf? zT$XRON8lIwidy*ihZ#JUZUmyOlNAQw6mHw){ibop z;9Xx*L)Q7XK$#>d7pb~eH8g=S6YQsLec7HK;!Y6lJ3qkw3Q5aOnL|e*X-y08)Q0&% zlkhvY{u-PuqmagiC@otU-obuz&vlW!V5{dwifFv|=CX#3cq2bpa2$&jqfKKsp7_Iw zkIFuPNQ1II4yI$6;9Id)QPcu^ChSvNK8Uw86L<}YFa{$M^ca%y{Egr)0-WWS?tBgH zmy}0Q87nL2)eehpoNJFr1gWo(%d#r>Rcmn}yFJkWh0>9DnJKWLBO7b#H6=`XV(D|3 zFthjMmU=Be3&1xp%md8gx}%{qW@FE_w+W6}IvqVfZwN*{DZusdhYv^PW*~}V0VB@V z%Zl1Ai~%-dE~bKa9Y4`~c;8|8viRuC*DrDR$WEjer2xu|8m8Npmp@3uX|b@Ecaq_U z-t^&X@L48+$k!MZ^g+=hF?gN%7k+^1IP3%R7}XK($b<=hm<@MNy*uPJxKs4preH&) z*Q0j9T$kj@)z<0%nXywx=a;cO^(qCY4SxF%dY}N2-f)CH#*9mGIJ?n_SCYx-0YG1u zA#~RPa#;i_7Q8rcXkCz|@_P-F$X2|S<{CNM-2a50tO;SDjt=86G8Y8#?AOR4E(E(} zug=QNPuo7EHD3tJsgx)F&8<&w54ovA^+1V;hJ5-jHgU(+Evr^ zWpvpu*DXPYX*WF+sJZbzj*Nr|SmDFZG^`+4o?~Y^{_@fk26eLz9R2ykItevcZscMQ zez86Kee~wV=YR0^KU|oTg(+Wnc;baF71J(y>O3ad!ahDPYwVu{2{k1U^wT>CQ)O5${wBVV(&^@aQj21lZOi{@_Ro4Rl?jLT5 zeu?q}P!K<1n7AQ#7X=B9Rbc$YB&7)_1uo2x=x!!>k$LGSOM9(<#Z3l^- z8}_Zf@zF#l?m3HHHm6dR zkr+cOB%SRrUGE1_8v}Ey)OD{-t5Q36*H#r)|Fdcd_Vhf{8C20XoEWwM$4Qh1ffsb6 znrZ~pXTt;xO>vEa&>n;3lWxJ7vmCF`21ZR|Y#XFs+&JfS6U@pFAG>^LY-DJNrhQ2B`ChhQ_s#a)*~ z2UPcy#*C?WkADaPecoPjS2xKBqz@R=wB-nup zZp?%D(-IPv5I=~=VQGMtbluhFW1Xlxb}k=#oi``)u=b{$F_O?&VL>G8xg+}Fg%4FH}u*Gl`(6Y)q%41*#+@r z_+EoHuuFr|`EJM4*gk;SH6vrA_b&MJH47~AN%SeQh1?L1`q_Q%SJD?_ywfO4BDVNc zYoCD=;3s}&K5c8ldI(`VZkU(4%1`F8yzKa)X%PeP?Y-wQnBSOt&fFgGoePHm7wrmn zXP&<*Db9KNf(ge7*vIzaZ#;l?Z~~y0D1{kt;j`a|!=^QEZpkwAD$GF3bn|^6mduI8 zFI%+|I%BE7KpesPFR@v8`nTid6P;Y`=V`|3X`vgR+SU#ixuBag>$ft@>fB&}LGcb6bAf>1^os@D zKF{pju|1HI$nDO*cX4c{5auQ?)>aB`dI)%gdILb>DmLrVlfFV{aFxz#D%=KlCnm{=+Yfy?z)gAK(+l zG`#7uaFLROE|oD`+xtv8j2tf+@Y9#@oDCNP~!PpO&kg)Sv z8(^`Bd8B8V1m|#U{@CFe+jp7PO3(Rc{5&``b}#h@4M{0rWF)5Wc;Ew^j0Y2~8g)}F z1_tlJ&L$-Nv_DPUalYZUMd@kk@DFfk4(fx`c$r1%5I8fOA*@f~V;!)A`MwD?3grD* zVb?F)ml&WzfSpp4ki>ZGRRZ`!y<@$vj=hW35R#NHaX&6yq1z>Mgp*|er2?bAkB(q$ zCswIIFgZvJ6dryv#@M+(^8k=b-|_@l%743xdC_N?r#yKK-w&^u+dyy6y5#DKeJm&7 zQR$`LjbkWW>kmtPq%}#%l2Q_I2pgxr+^OBOdSjefFbe zxGQ=gdz#Uq(=s{;3py4Yk1qG*MhGTLi=3s-=}Z}Do51J*myh1iOCxy#jKey8X2mCQ zIfwAB*8oV#YzU@)xoP55T6|XboEG;xl4<~*PN_dFHPhc#dq?K|sif=m*GlR^U<|i5 z!Y)!`S%4i1{57D-x4BHjV1*Y)LN%9Rx5q2qg}u_5-ubO%BRAvI6mMh^pRbGYyRNz< zzTDLoFQ8mbh8PW+73ssFn0@RyR^A2976WPFLfl0Xdri=MstEW3=oTD4Qv%cHdNV)j zv^J(N$j0`^DL1Ts145XXCs~)1fkOV(kBH5naJ1onIW@!w4oi=Y-SlFJlRdu>9LESu zYy`A*Q*M?GnTs(ls%eHxT?L9@Hw6dBPtB2P+ojc~*2O8>sFvuO(U*IY9r7@$tYL#P)~gupuGvTHs6wN({SCQk3w^j2^ZKH(8joB1=ONLk6HGhl)5U zF85+BWyY&xRG983ET+&i_4LPqv!xdO@*x)p;@vO0C&gOLlCd(U3rr8I#~TC(r;8Hy z0ONvkIYn{sn}30IIdLwk_|!x%mjM1EUSo_Af?IGwz1`G!HdSv=ZOzul>%OLjM05@@ zFEgRS`~9rdy;9&k>;pkHaqu0pjLw@3cKYlGosDI$q1OBKTleDV-6-H+L=h%5h?Bm6 z5ZOH=(9^=oHYttGh`M!7(BLRJj;_IRB1~EwNr4tpW4T^H$Nv_OUmVq+ih`+Ps+h1?remz#D# zvg|!8?n&vr9LoerR^U492u%N042`9v9|!+@rGxSFvGNdfqS(N5_PSezh4nXvoY3#M z8lXX^_C||4D5AlrGaOd)zMV`ntE!_Du7jh^SgnIVQ}y7OjSAL2G-oj#6w!=5Lq6aI zuoRauiOMmXZx%%%iKXbrh!RIxhIOZ8)v5Jh5S>K6VGFmAa_Bo(I)+4wwYOod7z+A> zC9!^RsQnss>#|xKtm@DWxyvfbTN}^0;HCfi_K61M_31E`cHQUz#@=+Fuv#)wgyoVg zc0GVS+F_g~e1P#TYqdmDavW@iQz#!n2tpNauxjQh3OI%3d@y_PGRe5jre1vFqZ#Ba zh$h7GssA@8jt*2dr7&1Lv`*4yucm1UJ|1bIvoR9YIO0CBoiGQ7wt#wy;E;Y3Lor>Q zwcKOZIA#``=ony72Z5oHBedHzt$60VP(l2?s@m)g>#0I1QvA5zxPJ2Fzw@rPORjqM z=#yhOtLIa}BQd*mW^QqKbo!l_=fBU|(CFX}94!K@x?(olj5m}oC6nW{p9NxhapyYL z1MJs>btuNfo{@T5g%GUw#M2|-UXG5v`L}&9?RWh--tpXjM!Z~fyx*W!ba>h)Awzy> ztw&I{MOufF_(y64pw^koJsq_`?6kR`P9D%f;)(-N>F_U-Ngw`Lhp?)byC?!F7UlP) zzacX7CjI!Lp&5@q^uY(8k{Qtc-ki=2E#X=>jbA{H8bs2qgC>5Oog#r7>uR;oHsC-C zq@AV!-bPm_(8UPpa)A_BZHCCm4~{-Nwhw-eM@B|^4^LeyA4O^4_)dl#Bvk3<0t8GY zIqKNc&2*wslXL_{ARo@CaES}R0q|DRKcL``^$*@=;@c3A%6$MlQs9zu8D|Ntg0VXR z1F0odUVpEU!>2j&jaTlR)*?o~*;&z`JEr#&5K%Rr8MBgta>H*6@+U$cT>#9!9Bz`w z41t=0*1>HONG$Fs8k+(v+|zX$R`6LDej!~yd-KHDNa)1a2~3v4zR|L(T{H|Z4Bt1Y z#ZBP{5tzHi9m}84o5zR2b2>^5AmEK-qThEPsZQnx9 zs4=iAgl#s&`W`KTR=}VQz*~eNtMw{(gtsslxYmUkyNcp%?C<6z*3CHm6!p* zj0XM)JD1gQ(Ax|Kj;v@vhCuo0=<#NN{KtgdAdSTS{<`L5o@FGB4a+lVB#<9kNdy{Y z2|7#LlAIyviy&yvt(rZf*78y*h^HE`U=EL1TdizDw1`qcp`$i?c|#%2(yW-@)fctx!5fdlK-tT> zy1eXHh9#pg6L^e@WbiFzbo^>wB>A5#NJsWqGV$;qB5K=ZMpLyNqE;ZFip~xYWf(2K z(%95yQg5PdH=*?za^@S?y%w~%NRVPd(BR=KA5MFJ#+qAGY*-iRiVKQk+=KoB?y6I} zk9u)bou~fm%%WaFLI{sd1eBO5C zts|SMT{|llo8PB1onzHz9xY=JeRFKAYdby6S*&(H))H6GVlz230THNWUTDm*K|NSf zVm=YshGNqt+vH*36i5hV6Roafk%geAfd7+wUY*HEs|UJG4%YwZlI^W#_FK^Q-g^D? z1bwk&WgcZ$j}v7x3R&S0Rff$hYEXI$j#Ci&qS({yMK1~ij19#Qz*JxQ8a?xa>w*{v zJKvCnnPN+oV4U6~fyIi4E+bp@L;^SlA;u)s&-4WFpTC@ev#>vwph~mbBz#N?a5Bi0< zTZSsbFl7pGxSg;Q8b1P)jHg9(4x5NEr1IDyHpqEq&s>}J)X+*uBOTM`2H9s`ddmp( ztm?T%3#ZpQX7FGZ%eaDCu&@UG&7gi)sT<+D<_(?YP@nAuhHbm#3^Z%D; zWUYz+jQYyH`f~M|J$}DB@Q_i9DYyS?R_wDJ{YC9y8%m3{kN^z=G8ysHxWF<{uRl5&-T+|osx@ry?RY&Sqn98;?RMjlv zc^)c}x}m7A*T;sdhO2Pe`czNzaz{XqisL3xBeUx7Rb}=-Co(Zv)HWa%Qwez#>SujU zEq)NCbHY|I(tX1Ry#jD1QDh^&#nc!??J!mnpI`@x8LyPAb98L^4`?acKwNax-`c`0 zBCDXBj29_dcMf_4UxCJ9H;+Ci7XI&=tyAkM5{b6w(OYyB4#zK|YkpDK+33T1{J4y( z$=O#cq<)VJ{Cja)y=YtpwGU!F4)3Q<2O`Es27&4d&^OR9;b}D!%)xwV4yM>v?=w2%317dR)-ryyfFM><5XK1%+{!XmgpUAz%Lx1*&n!0^s|ABrCrO2Y#{jl{ zuR#N+*7~+UG2gCR0GDHEyv?u8vY_c$5IBbz#UK=YyHxUSXSzD%50%xK5sV?6FA!WK zNc4@i0_G=Io2Q!4E@wxt99>MdJWb0CP{C)o@0|J^?4H#M^K#3Ok+(Zc$2K~}S3}u2 zM1gg;4J5f;aXUK3^A@(X_!GvC(MJwPK~OH;bL`@7NOS1Apv)wkoqNwV>C6J)N~}sV zda)PT_7+C{D$Ga}u?Z?V=rh!s!^cMUTrklY%k% zQG3wy!;uE^0yQmi6ihkeFJwIU+~hLx#!Sko2oC|DDO*s>O_^g)Oaf{w~NG0M2aI33PX)&{WF10(P$Jz&AW?ZAOJm<5-j2_i0#0Uw7Sd&q3oB=jWS z8ngP$P!1bYpw5NPnMr2YW?@&OZ+vqDmXKXxEr=X+u1WMdb!y{sll4ffBWK7c24OY} z89=KNyZHdDK*3Q9kiuD>iS+^W9HJ-#oe5@ch^UVcUV)wg1tUdUaxjjA5dPg83rWV~ z)D*oW3o_ONzd0?_kvaG!fu=wmi?`5d5QXEC32lYw4kD*r@A4H1q1TG=$r?hJ1?{+s^VjS)m%FC91e2`svpA? zwMp8HGlQdgkR2pIHfYgHCz(VPZ4q{D3_Qq;nR=TrYFczcmQc>#DQ)WFQ)iUxY$yE71yamfZT__}ORED%L40uYa++Ql@!q0_4kvRFY*I7jV zK@aSh-clI;k`Fd6np+wA9;_jFS2-XS3kgUI-Syj3TQZ#)R4p#Ob~w7g*wM*2txg!* zF<5?TuwMw28JG9~eNRgz14a1Eab8N@5cNpL5sz4o8D)clVAR!fqi3~NIML+~E`QJg zW7>wOZEqSaZ5bK_XeI_Yu!sH*Kms%-q(Hn6<&=efHeo?0Dz@c9XNEp>!EfOmH5(?h z=lgJ;cf7uA&+Tt_Qpfr4xbXW;OE}CAk%AkN5+Xl4CBXMFI8Vvp%F#tW+-YS1Q;({^ z(>Y$m9l_b)-g_`O`1Nnv2Wo2tmu@twrDL@5Q&~eo#|nczPLPX?N~tUwYNif6*hsE0 z!`i#8x;9-Orc-(8=0KzJ@D z_xek1;CP2nYCbat)NNn)0ZBjW4VDu-qTh1J@@JkpMGbz@C{w%cPR~`@hbGNeAXM#2 zE^)rET3?>rMBeFbW9eW{uKnA5w!Ke(i3`Sd4>m7iEG|VIDMK6w$^dI@5gxIuYzwPY zOMysujb(PMb7=EA273b2IoqR8J-B1vZ*3pBLpbe4IK*siPtkHV!V?}i7sZ4$S=DrO zKd1zW$y{S`m&SGsH@F`5;as@tj-9Xh)y`AI;sTC9OlbDtYbQ6ILPvR)8Seoz!2a{;s#)+$dEy_hlskg*mBs04dPug#ELi`32pSmby5zv>c{Z_Ig>eW?C~VPdy32E z3lP(`ia1q@K$Nio)0CI4pQ3$!wc{Ij!(|3%9lL<05C;EV#PkEey3Xw+#i3E?zO^d% zL~}yD?U+?tw^jKK_(tAceg)cb9EP{o?>j%J@|E6Q*rj^5_MWQFUsV-Q-ySulW?*11 zp&Nra&0bGt1A3OFCac1ozG);_ z-?}%pFd#U_Zd2WGZ%!>T?!NNwE3vA__%rKnBOhO_)1lmVtS$^m|Jlr9tw!~rrvcnB zJ_GW?X8+`}IqypPx&MiEgzRane-+ufy5drn!*s6Q_ z&G zJFEdsog8eu=|d*yAa=VzygG7Kd&?;~fzP`#h!<3EBY{!WlmrMBQQQ?JrL_yE<$3F;YkRo;tr3h&k z(au>!25kpw7T*8x;qQ+&vKZuI374yVDAO9~$Eq)eAzZJ`YOA<`PZ1`g{Rt2eQdp~9 z>z3Cv3v@|Puxg?s@D5LsG;jc=1s$;hrvKWtYu7@o`SHX_WO`4OBkPlgY;75u~7-=0Z|Ja}}!=F~MVVae}4^=ei8K`5X;zuGswhchiJ z*yn15i_AOOO+-_eKTiZC<2MKC7s};eW`JNAH?i+p$MFI7I6YvR5KVD_%qT#aeV^H= zCiT<@{7%@}k0=;h(8@vp`Xp)q#1z&2XHSiX`x7d^a$ZCw&s;bSWA0V^e}NOioGa#> z=2DR24Xr!XgQ7L4_WZ2u2efbHnyo)gTI$LJb+K+?$hAl3NK8WX)w{ zQTN_SigN!laJX{hkSCon1i=gX7l?=tF;j=H0JwwI>}_sm+EyKqqJlsb+o@nV?<>hT)@i-r};YF>_V{PJx~^Ku;C| zMcZoM{jJSCSRr|N_mcyrWYywEGryVg+ja0dOPCl66ol;-J4PD(8PF2bEBc;1)abeM zerB!Sx9r4XI3(sE@G(!HW?@kQzSTOFnQh46`(ahMSgbeTl0fZ^=O6} zBNzaUV?~Cww>?iboQ)jP^RG$vYkOr<+1dfi&qZYZd$X~-CG~#eOw?VQLs+%)7)S}~ zYH%Ns67Vk2h?@-ENg;`0aX?Do>g8z1DN20C1mCXTunINv9$%B{OlJ_Sq7ad$cq@rU{)h+WNl#f;l~pbUtpgAAuf;?ov&jh5CuX* zOca90lG@gV=LH6{C{igP5oq{S3Nnc+r%0m-$&p1#PN6!ptYdNrz34B?mh@Iaa-}wr8kbNNvc(JWLh)U_lbZa zNc=-0L(mTg?)x^BUtyB;^;FZ8z#X4^srdp3`t;837j$ECkWpc5u$r!n1L95Gqa3d= zQh1~eq$mX32nBbdDhQ65Aa4fKz{pkwjDEG{={eJ*2IW4GL5nE~hFX6rkTd;sKy!}# zaRL06zi6LU0FL^M@oA#*E!c1)G3^p)?J2?(oxU=7mTrtyJJN@nUGB`qO^TdADPlc} z*pbG8JcxpFtrB+>*J?Xdbb1jhqu-WOq+5?#(O(}|7Z274Jlxo=W>mvU3`dBR#T{Tb z1P=W-)c}nU^gXCK=CuwuR^!-?GFX;DC{ef6!*?!-P&AD5=w8`7^&ePPJ~dXz-q<=p z!%wDLK+RayA$2D7J;sxDj7+9+%Kz|P2FufcIZ)PxVg*J(CLX|h7_(4P+;MKfT2zJ0 znZ-%&&^D;htxgk;GZ8uoF0`J|@+&QeaO_egVBGK7W}rljQdPf?p+)dw1;j*g2=Xx| zKr)EmhwkgVNs|gQ3 zy=YjNKqaw54v^R(xm$NJ&N0wulTL~qj)}#C6+xV{{Lb$3iwu?j&B9Yi@+&iX20l1A+J3f%Ht>&inY)*1KPO6dv;=%W&c$!Mt(`w1(M*$ zfSO*oPBpom|1f{XdbplRmE3ZTe^#f*J17K zF&aGNm)*i1dwVaaL7L5Y6V~)NvLv!9t2K(dIJ@Sl`N8T$s7Og!1PF9!zwa?T=6Af( z;1kmLQw98pk;iJ{D}3OfZ0m!)BM>U4cv`2+rC6o#vd+r25GiOn6CLPdc1EN;%;38G zIDm$aixg@&#%)+z!65EY<7z`aIau{IjrRxtqx?qIba8FVG7ebHq8D9!@8jqPjYWh5 zh)59>l1d7&<5)JTD^ZBl^Ayqmg2m1lXp1ur?=tuRUEmwQ@i3K@G0;1R)s#eHM#dRj zv%NIAWk!amJak}Ynv#<^hS_lv8C&y!ED0jxYX#f=zH56P1m7Eb_-`z5-WLH~s) z+HP{ooK2yRST>WsByX&75+ulZ2^tm>s8^la?GeE;Mjakt`LF35v=XxgEG87y*3~L1y7W z3R;mX=rPaYOW_!J{S$Jrm4So;NqOWJlnVn*fmd0{XcxY3t&{m z**8At?AhJ3n+-XeY+$*C$!3#mF2JG)UO;9;&`4D_5WHVDUVvIFp=w2`W;O(fNF|6e z_I+Djw6;iXjkUUM#j>DPzSfq|+Sa$PLXfJh7YJI!Rwdu>nUh#;zyE(Ad(N3NXD-jo zJkLCrc}7Iw*XD3(i0U0B4HkTJ-g5GF#3OM!uI!`Fm<*}zID2mObs}QXv1P1stD6~w zwtF%U8>Y!}1rN;Qm|6M4sgk2i`bW%>_a5AhgaqB9U$*!8!FXyH9u)D$M%2FXqOHHU z_`eSged^bHg4DF8QKS9Rh79ZB$y(ymV1bkntVg&IY{s8C4jW;S zM}jG2glvWU(-l=PVKbRHeAbDxdDP&D*JBRa*97NgVbPjqjGn@dU!HRJ^3&)1WDI}u zpNHKY>;#d21;fA+CbW*m=Qu#0>16afr;sbDGQ` z-X4)<8Oc{Ns=ag)>0`neh2}@fnK6EJ{>UvQV)W zX(rBEoV4)jlRsYj((m8eebbCfZ{Bjv&>Se>z6MVs8>fc+P_j=K(Ptsy0v}mB#S(BH z3L0RNgsaIg!ct4PiZG0Yv6|&vJQGKjh1JDaYyQAH!_KBXcxJ_UFeJbgkNmtSt`Wuv zO}bevzq_un6G;T-3Clw#H&&vi^q*Pz zqg#CoaT@t`FbGSS(69i)767Ni-%R=Yewesgrq*VTG%C&En{0XpHG$N^w{hwcmY^E z^EhI7p@RkX5oki$ez@C8UCG-(XjDk3qHMaAKqh zLBWCTXsO%-XE3O%&Bd?KI}O0$M0om7^K^X4I3+-XEsL?6Uj~yjB_X&CSqEe%0*!p6 zkKh0f(o3o69xNZO(m$-H0j+Dy_l=(lO)Q#njm9jW5xbjJ!_7s>POEFf(SiqMNjC!v zFuiIcrc9H>A#hMuGMuEMv#ydLvKdT+9?`EOn7M%s7=x^wIs9#GD@2tRttqC#Ht4<| z{*8Xly4<~;3Sgni2%wNWSm@Y0TT2t5Ob=FpETBvS6slr8YeE`OJ^-PYl2bFg{-`Nm zLY><>HMqrB>@x+|)UWVW@7?A?E88{ecuxUIon4{lq_C~{;BQx124@&P+<~%8n!#)v z-b*+_9&<$%!|KjT-=4l*lqPs#<&oKDfiu?dc&}XIK#3-?n;5E?5Qy3jro)tuz1+GE z^k#CxlGyUn*j(C!Mpz)%0qFe5A9>R`b~OFV%Z^DPsVuALppAu7fG2`p6Q%(;PH^J5 zS~BC?0mKKL%zc@Fqmr-qTCWof8Zel*;X8z+oo4-`W_PEU$^8ZFbmXk>wzu19y$AG~ zU6MT|lt`GvCnI3=VO{QT_Mp#qIk1WN#SPJ6Z~uDbV58tdux52_WR zvT~rTfV$+DCpRnq=y*8qyykmPul6CRxX%izciu?GXaJA5#QUss%adhLT#TQ3DQO?; zOczUupJWPZ*?maPt6@esWb;QY8c_`4~M z9MuMvlBhZcJ_UT7!4r{FGzhfdx2MilgI!;;u*T1ht-)IPFGaSx;*WI?gFH|Bn{Ou! zf}_}n_+0D0TEUs^qf8j(;RX)sIDG4lV6a8|F0mr`y7EwYHE9WTr@*iWhafama!h^? zJ`gS)#+~72W`Wb8c$Ize+&QZ6E2dYy%qN7mG{W~u|K!p!DtWP0ulT$LzHvb#K$!lN?SnoPu;m8vzMXoT7BA1>FHCx+b=SH?+SwQ;Y7WSV^B|X=i~xdd{!j zJ`fLIM`EMElqBiK_pFDK+TOpc0GD7_HL3 zA2%w0<)KONI?m5M^T9>Zj(+;9hA32Bx<)c3Oi^*Q9SU>#_lCzaPLs&}(kWRTr?6tj$a0gfEnJ+UfJH4g?aIoqIlRAZbev9cCp<@p8Y;(M$J@F z!*CKxw2L!)#`@i80XGDlBZB6bzG$f-m31A2Q6@sv>Kl#0oV`4nuUXSRW2`W2Omx%G)}ou#!kOgGSAg z?6A?SBk2bXYzfVPL1~H|eD-EoH1Y^Gb}~Z?Yse(*^Pzr+ck_xvwNs=l_{O_BOHy!2 z8tf}eBo;ilQYD7#0huTb6v$v_czBnodT*+WMVo3JokpWMny_hbCf@7(hrGcMW;?9<}eoah1*_ zmKny__!0<7$;8w|J;(AAiTSYh6}Kn}0aFJ58CeXv!Q5Q=&{WlHL_!|Z`mQo&aA4(C z=IDDvPvtun@VFMGqAh zU(ZW9-J|s?%R{kZyPH${b*8QRH^BoN?sDTRPX$9 zZ~o~!Cb{~!H7axQXjm0_L*H3!5$KGVWcGT>gSRmA$AC`2FuG`S0EZsL9RZC9 z2Qy$^g3t??aV8)wPf4^XE;)#U!%KI;zWULz-07qxlh9p)jxa~7O}~%S*VopG%A%Z@PZB4BhD5>tLG;_pn8?{n7Qg8aVE@IO z%co5xaZ6E=gkuh%mq~uG889053ndPCl7oPF$yUT{XIT8fTX1g6kWO^Qt3%CK_Rb8q{Gi>Nr^wLOO0_=rfrGcYvuM zBKN>G>hOogXwNdhfIJJ5FPzD$aGn3GJRFR`Z~*{-Tb%JzZzDZT9Gi1bJ!qw3$or?a zfMi&eEV1w_IH$|v`z}~z#R#WYaMp}cgvke=KLd5}2=@ z5nGA9f{UA{rW|ug%yDzNN{;cTET;wY_lad;?C!NX!74_AUo~`<$fCH(z@X%Ne>k{4UONMj^)Qb?-U;QkwNUm1dg5LdqKp$g&@tk>R~vo`zVQ~v9U z!_U3?KSTfNP!NO{P1jh4=I4$J)vk=u&;SdK80IV_nhx3u>h{PiJ$>u9Q3d<(!Ek`v zH*D3`BIFVyuEI}8$Euq?jFmq9HGhk#jTv5>JtjsnDlXBcrb}5$5SN00;tI@*CZSUo zokzyIykaH9s1Vik*pL%^87oFx&}*umz}9j2o&kXDTNsB5=56rRg7-M1#{|}W{nWB| zpTG1Qiw+Gj@;m`9`*VR~K|TD4B9D}N=`_XKDZHChkhF4t;F{4vb=udl>y%_QdB{M%HB8mWiy(Q#w!Z}B5(TS>b6j{DTWfI* z&?*fL#UJaI7Dd@smYxu>$flR_yJ`K{HCA6Z^s$du#+8VS33$rw9u@x3GcD$<2Mj0( z{R_wpS5yq&aAoYSt<|C@5U(QGZMkFz} zzS+o1T=*s+dLDpHA3}CS>c8h6ni5Qeaa1s_O%jbn=r;HSwed$2Cxrk3@VgX8M$b!& z8`aKp!lNd%;MwN*k@%xvFrFN~BN-FCjNa%gA*2_|uQ+w?&o!2SSFMXjFWWNj%&BT; zRVcPhFiR2%!^i7;A7pK`^K%b!3`+woqElzEI^=?+{m7m{sEuMJOF6eZF|(J7WI{q1 zgJR8Q78H=WaEz&5zgrLYxA0NtPPOY@Co)Py$Ij7e2RjFdGl}bY-eCADY1WYdkQtn$ zgeJ2j?g2#E^Ag+ZR8m<80k~aF5NU&iGfokAnlV(2qXO!TiQ_?--wal7$@M=&8a{%g3}KWC(Gys6juXgZ4$I3 z0&*8-oTE)^=>^$0)1W|i_5-2?U9CVjF4!gjOPp$zn^NmjeLE%;L8DIt3udDDK`l5_ ze_c}7^#4f}`|b1Y-CVOT(P@AB+cTo9tV`!D4cohE(nMy?@sii|Bw z`R~9crLg*qgCZD&FHY^o%Tr!1AQ^6m2qw@Zh8>-bm7yDsHJSx8URC*QNiEU9Q9+DI z9hTWRpQvO(K7F)kl9B)3-m*rc4fQo4lQASPQ0^D^FLk_}Is0#eLdI+DimbAHaMXCg z{wkax#-#qgvYB&Ju#+K50#4*?b@5pY7Wo;^tdn@DVXS&LJP(IfR_W8xZAMBq_-LgK za4@~$$iZKM{d&&a;!`kW0XL#*dZuG!_~6$CrQA1cpHZ zFP(RO=m%H{`_U6-^sxfq-yCUNT=39VC^*i?ix&O*v!9pzv?GrQ%D;kb^5F*`Qg50& zO09S!S=UIc74799Dux7=me>kO7^(x-RB48bE2U>wNH&I^S|%<0aQ7UuN=4u=;!=iGOSEY zk? zv<#7&-mw-_3Pi9>9L7utoM-5AXEptPF8o$|uetJbF_H*_hM1pm{KK+-BfK=L1*MZ< ziRJbXV(pRTjr-}BIi;jfs-~?E&Zj{bp+yEPY2Z7(P0zQ_n7IcR3QY%Dw%d7a*Bp-G z9E>`|IiQ1~5SNVTAbx$lb`N@uG<w%J4Ah7Yjr zq{Q0v15`{+Ydmur-0~R~P8@V4g1}C?beLvcihV~D-b)75qr2>xs@F4qmg0-+U#Bi| z8M`fC@_)Nch&ASpirb z0}IrnVC8%%hdp0@9G=Ai+eg>!f&{CYqz-m~OPIy|^{MYe;0PzYICYnGv)_OgHFIVy zZren?Fi&()LECo$Uf`A#i^-o#>SJ!Y4o-r!m zx(q(UkU6V_@ruM1Q(k!EpC1US!az}xN-qyx?CwD*EC}0bU~^Ge z^|krGtL`iUvAXo$F;yy^4xdRw60G)*rmobR`0gvj$3Y))KKc7Wo%K)y(Y4|$2a075 zcrH8-D|_tt8e2kq8YV?x*ZAc~$K5Fhkh`oH=yT@Y8yUyyFtbC2*VWdPanlrTl}lr^ z&ELyX0CBZ)W{>sO0pCctpaEngm^(TzhP!DQwOxmRD>?+9Geh<5t|c3f0MV|LDx4E` z2p!_cAo3Rr24?POT1$*k^5Z^2%Hm#5m_Y67sA*UTk*yBH!bNL%(}i%EvL;gt*_-1a zJ$L$~n^bc5Dllkq*TXeU6r5HsV13b}am@n+{f_J*@Tq-9qB@R2F7SY_C=Oxx0{Txw z17OM_<-5sKNTgU~aogZ3TZE&){zIm?TotxLr*+BmMo>A2tZ|FwutH))E!x}EO9e=b za;j2y%FNMo5NHI&(-e<`xujAt82dt!nh_7!bZLob0}0V>Kz3dkX(33tfuruYlLnT3 zx_$m}XaUBj4j3#5h9<-KMrHk_aBu&rJYDVpnnijWwPYN*BZL4Q;=y2{LA1(aq3%ShB)CP^ zlt$FmjH4Tnl&z)p19&iOe@zMy0qy~pfWyw=uwhYs70#Qq2)V#iLZX@ABh|i^`sySi z9HNd96l1Wvslfmmi-Ot$llEfuAJa7nbRp#k!74`-V59#S7LIxx8u=Axg+}(7gTMOu zB5|+WoNQS{_J{?f^0N3z!~zhkkpbeJ)ZEn%$mdpvN&gF4vs# zHds|MOs$McyBCvAUU%Ph<(3m--M2jsaWR6D%gTbP;}#=2#TJcElPcLILXSVku$DK}hv(Y5g z!95Oqlfn95xQXy9fA(;NYJKO@`uc{)Xrzcjv;?VBAf8vx5~a=9ud^J{Tz888O1ioy z1>KWvMiQ==FV`CCQjh&DI$8BLVY^x8W^=h3Gc#JO2E$|h`E=Wu21Ci`Oslnz&H}}> z)LGHCUzkxaj&W}M2!jL*mX#ricLB2pGi8)cHT=_s%0lXA&g&_ZAE%QWoWlS@gxs;j zOH9vjDjuQ{sLz6dB()JHId}o7M}8n>H>iogCqe<4EKnX z^oi_}=p@GYHLQo8(UPQDs$0dfWbRF&r^#(miaL~@x!8PkZ7p^_pz1DU(@hK(V{=NQ zpx)sL+(^0M=zufJ0c;w9;A2Pwp`QcH*ki4+y3cwOeyg1T>PI2&m8jS?*mg`EQ>u<$ zYhWsXa{i(I5B0^Yi4%LH#ldw}H_;D#gMo^I0do`CH%qEFXo)4e+kVpqGc*V^e|~lY zFek<{U}79mInCR7|KFpuW2?xz9a~;VA`}3CtN%^0bVCjS%fP3`4=U@9ViJ0 z5b)YZ@6cVYWjVzQY79ci#LoyNfwHQ|Bm6So`eoDIGqy|(wx73D4gGJGS3UY2Pe?h- zj3SUIDv6=Cpt%h6^#FO*j7ZedDXkxNlL7id&;_4CbTWfT7P;!PmHxhz7xH zH%;k=tOV>Ev?+V^}QPGM5v@R5o|^<1pBCJP2KqFK>b3&oFL@i{(U6ab{XVA2Hmon@{}pPPo6Z#V5*Of_(Z_Y9T%Xo{__ zT3I!Df#9ejLL*>Cfj3dVB2YgtNWR_BjKK*V6+MW>ayTutFMtZBfZLvKDGHdu^`Q|x zg7BI`b;Pi33|ne!Bchg{G`5li^B3mRUk@(T>!YPgzS#nYfL}dtIatLzJd+yLl~?)g z^D>M~_9-Nq1yzU-SICqU$#NK7L2S3hZJQh(bJz|kdJ!Q^)DQcsmvxF3*!gL*eceDVKC_PAWrnPqG*I%6N6Vx4^0KGBk+mLyirbKJ{v+s(+s39 zy49-+syJSXG6+Nz9I%zx_^Pts@xxs_PADHO5ruOzI7hkPz6Ant8_&m8_`pf$sDVG( z<$32B^D1*oW5{b7m8yOH>G4GwWHd0_;-+cN`ee=vRVNkfCN}6Zn3tJ5cJ<6hl?IB> z>go-$W?&jtJl(dcQGp->S&FtZ)-N^^4olCkvuIQQhp$vg)lm_SJH6aM)^ey5{JUe$ zc!kWX;Ohk2QA(r2#Qe4AJ-d!eq&YI%2iKN?GifA7^+ALAbI+f;O!xM+YVc<*cNL)> zj9|t>j@tU6Ki+1}M`hr<%ZfsD$^Fby)`Lc&Ip(hL5^-&Bjij|k|z}HVI)DveOco;y?}TsE%BRv zc@@=44DR0ynR05pXSQM9w<1uT@Bi*FtFe@D>P_l<_g9vvy#cS^FEO_P6xIG@HM#v= zD1oS>u+{+T1f-zlmzcf*_*}(|&7?Up$3of|4g66KX%ETHBF!6+6xLueMZ{#S*+LtY zk{}wuO47g%)qCoz>9hvFr_D*LE1s;bqa&{1z^P6M#@R*C>j5GX(B!xQ3dJun(gEFy z|8PqEi*U>T6Q3rZ3)O{5v?dtKa;NEjGpT)3#jh+)`bHmQTJ^EhpA^%j{n8PHnn z9_dy4Ha0e>-VJAZYpE$jlwT`UYQXPczkMB6=rKB3GL`Wj40iiiZM z9>9cUq*e;WL`c7tj6Dj+TPN!+(`wDO=s30fCv|@4!C*dueeU^J){TA#Cc&Q4rPMpr z8J3ap>2Do1=)~iTp%W5RpQaHc%u_8HJWCPN$%Otz+i`{5u^1OY_c|0DcQp$VPI>19 zh#DG$71RGhs}FHY8T~*82)BSWLVtpc-79{HFU7#%nA|!b4;(7iIH`efA6MQfWn)!F z2H=k3Rd{gjeJQk7`|X`BF|~vOTyesEj8{;#fU_|KtntvM zV7!CmNoGu!=trrOGJpea5IjAc5L!hB6&mR8NcRI;tk zAMJKO*KMF%%W#~+suE7loywGRu-H}|6TNc?0%J*#b|X=jHewTweTLhYsvrEId=%jz zu5%Nu#Q2`SXUB0S7|ZB5%tvmr+i0ES0KLZ%*>@E9*M$I|{zpJ<7=Rd_4!f1uqyPuI zWSDU?**}+vN^RI`<~loIOdC6OM=@pmS2V6lW{>r$d#*^7s`PrpJIXCs=#&zUNFSJm zoo#=z%kr1?j{mDhn{Yo>;+<=kdVO?mP)z%DUW{IKSjY0&U2ML|W)m&2bUJM`RHDAB)Lfb4yF?+83KUapsF~(kAv@wqPKUi3WeKf55!u?h2 z;rXM6tfh-wOwU#y-(RJ@gy^w7MpHP4RStI$)E9*B*OJM_Rrx{3>n=Lr((B#}Z&z_? ztb=-Z;xQ@!6(D=u0(((_HOAB9&(9C+^sg9RIsxC`sKh{#cLHinLEw+$&%_@y#~JvQ zhRQxxR^65YiUIn+hjlX@oy9Ap3xNTmWwey~>(x#4CDsx^|1h8wUG!KGBt-}M=j%E2 z|4B!Xwn@6^KUPXW_~0V2P3*&&?xr0OLStIuItP#uxM82py1Tb^P?`&M-Dnff+(c zI>as1fnlz+=*K3P4wy1_7YKo^d3i8?l3p4yfEjea@rGe_5XXjO)}y61tR6MRj!tZ^ zNN7p`j;#lalOT)ZGav(w8HS#QQOChYLhu~-eCScEvp0^4fo%<)r|~`ZJSM0R#&;9c z8EbbLcOKLA)32;Yb&>>VtJ|GUFVGS~4)E3~z|wDe2xry+b@~*Je+#HP%c07kou`C@ zX~6{eVJNBZEEqjT)$!Wtm@Brr^@g#beDb4N4{NBNK}+ttEC?G-HSNz(drsXs21g4B zsunX)Qymg8Qb5>da*B^fTy9As|AMKP-7UCvDUOqXV<-fRnUoe6sxD3y%zU(S;*7mV z?x`3&@$9!g`k+%QqDg`MuQ1$HRZ&zDfND(b`uo&)lv7(MU{5kKf&h#O+<;%c09-_G z(1Rl*51S|F`4j$|b(4OrhVC!N&_v@&Hm%NlZ&Cusn=yXDrNudXIUKmd4sC!mO9*Hb zSPSIr;|C0Zq6B6~T7V^QCh$=}k&KRj7Ge3RZ89zZTL zd}F!oEZBkRVhVZ*IHO&l5}?$reWRDFbW{6jc{m(&$6E$t&=&^m-M~!{iO!)am6~2z z)0u(Js$g`{E9tDB1ixbK&W@SUER90&142j#{J=v5w;FUwC~2D<*Y3Z3`!CKlP&~cD zHWFV4exMopy)KXl8han@{AU03Z(LL{Ni62jKBhS-Y5Z3X6R zl>iOuDgx~JRjb>3>@X)DfqB6~WTN0&DeLF{=jxKHVI)yNYcSO6;fEXcMd=hMM|Pbt z9rbt|o1eCOYE=5NfE~y&LFpj8HhdwRoOtUszR|QlumTv1qA^XRAcFCGwc-z>FEDui z;HTz@w{w15*+k{MQ$JsOiGt`AtJ0y)20xmKZTIaTgc@)VIT{T)5yy%GklD(EEe5EA zBwh(*;Wb8^S<3TtMLSF8)8y_8u%f$(9}itXD& z{}>v2X3oM3hL(Q%@z5MS|v z;}?~t^;hF~QR({H5=hUyuUz8jUx2 zB=mBX*G;JVGCrJ!KMFK7br7Np&5{^zdc8{PX(pzaZBi7?zNok1GF+sM_lN$E)IckG4KDd!hlBmmr6NHTXiZfMq!u5BR~ESOjdE#nY9Iypg0&&J>1o0&91LO=&6*4^EmlaW9r8gj z2^o-TU0arbT7HbAVfz4%=Aaw01Q5s*KHRl9spQg`pA#qD059|7gX+)Ioyncdf{QlD zA4jMS%6*|?6-s2u4MTaw%>zn8OI-ju$tJ0*^Jx|(s85=i-nbX5_~dc z{hy8>8~Vyq{~W7+^T**s{WSF5pEAlp&kh`-iw2Y1)Itd~LD0;NL40)Hy&eL$HwmvF z95=5&`<4<%OCtH?hvxUqA_-JwB=@#`Iu{4%-~{R=@a};nxKCIx*LiKzfl8Z$#DBlS zK>z1@YOytpvFm3EJ(w+^+(*CP67!oRq2tIXJV>=#e)3pv=l<0=>cr8|3qc!r>dLpmB?Q}MD0}R$jbVabU1)mJE0s~N27!`#R863=Bfkl>J&ingsMCm3u)FX1E z@}v9>aORcXTRotXYvxW1baOL&5P5E-oq^iNA(mqxFVZ%WRd;k}ACip73s&=bPH~JB z{3qID0TF_{(Bwjt8wi#7xNTrbLN2yZ0}Zb`9yoaW;>G`a%k4u~9LW5i&yA+xTZ|aN zIAxKfWeUc>Bve&T)Wwc5dZpLxTmtipgg|d$mH&@1Fr-*oa&ms~gg^Ul#N;9p?@vUo z^bg-l`~sb-?48qU&qovt4h#u_FM=bwc?;&Ag3@LU71V zbV&yEX2cBBg5rK-V{I{MZ|>Fe0%?Zj{9f4j5^U1+88ro;LKey^36{`m<-@OsZZ%1| ziG3jgn+2!6VKj8g91$I6mIeSogq&&Gs1+26`cdcyh8c|ZP)48^S^Wk-vNV7=PzOPU zVYNa(jORk^r$fhgethEh7aZy6{B!}p{ucgr4uhXApZUh5Pe3_0SMErv%lDP}M+p+% zamojps-Vi2mRy^MDU}Ca?w*?RYhqF6tIUV>73%v_8%C)!+dUfsF)3Q)mhHQ2VX41{m%VjRwbsc`LaWmu~71gf>$g zp=M0Ft>v`$4jem#M%?ka2GK35>~c%=L2!hJif%dI@I{0RJBCrrFT!h%38)TkHlz80 zfc<^b!--tp)zEN_#8L|Ug`_^v0g!bz?(hf#JwQJEqFGle%udZA67$my*k0GO>lL~e z@&$N9>V}Q((?ovHK=LhQUuT?}{YN)>haWIbgrf8XW(Fd?~!PMH%_>!+1Eo#%I(3H+P`*rY}Y3~S$0OTwirxIFj zhh_*sXpIHvbH3rN2h47~;D|!Nl#gyGW?gu1E@K5`Lng;S#Gf3;afU~38@uebuN)!5 zOe@Eo_!|jj)Ny+F(N7QAx+&wHvRZY;Wrlw=M_CA1&2u(;kTHP&^CV&kcB;H;H`~Gl zpYu#rm>j*OIe$E%p*`!9h6R;&X@+f>=F1uy;=n_cpQbe!`0VN($1#CNI?IA+E1KDe zlzi}44RDmh*w^+{sug9|=pui&R@*uB^R|7o7Lk?`9F%?2>?{7@NLwpxrSINx)^pK2SA4bSHX@ zxQiCCPOwdL!_1`5ko}>)by;=}t6VoBgzjM_>kd1S@gJ-k`efaaA>}U+a8>e8;qrh) z;iP~FK$xsizHc-c@gp-#4K@KbpVH?4j_~{cK6z19=y|NEPQTPQ^AA7$$0u(XC$!fE+5@LfS(g{%f!?WQB<-Oh4{{1&=e)M(w ziQClB&RM#d9@X)IeMP=w%0)9wt#rI6A6LBElUBznaY%U9@9pGNO{y^hktW>sxBwP{ z;;81dGNQM7;JD*KB7y=qB?_E=wWR)`OWjBH=v^d>bBx5ui%yR9=-`iDVyT`z5j8=GZeshV0bW;XdQ z&76KkK=t8h%Pl3R)WZ5!ZJpw6n8CRrSThQY4G$eXO*m>=%5)6%1mOv3aExV;Al%=p zj)qR3qk6xT@CF<4%yRex0;u!i9GE(fZ!~Q|5(EJ$@k8rziBeRy)?REx^Bwgbu%8`6 z&Jr~c2#-sR7D}-Y`LVdT*+St!iqoRYf#R;Cyi6-n{j4Wu5yB-oUcTikphl3 zUW=C~QCm84diKG%U%???w`y&gpidq=!-V>iP=`Tu#tm8Ms&R(}fDWKr?v0K@-G=Fc zqr&@*^72ZG*!RKXx1RB4vM+!8v3&mt&nM)}BogeR?>HJ7RR=@ooK#}MUW}qxj#IRn zH4x|d+%!)gX2!>5OI0Cs{#};Ug4vUu=xOZC$!A^<`rvyUTodQ1jQR(O0zm(dgJ84aiXX)9$lJeKLjtG=C2KJ%lm zubL!3K7QG&pLWWfKr(*%W;o~y4)-bY`aAPvu+D@|8BQFdJ?!Z0!DO7^k-;AqjCUP> zz-QzSey{jvn7BQr*Za}B?VT-Z>rJDpmDprjOBc*aIaz^2tQVW*mPko9&_Cv$yA)d84O-P= z+bP{{yStRtVA`S^0B10b7&o(E%2&^gv#sys}I1>W4?m>u7^=G76 zFUemdn4uyLHA&3!(tu|5DHhJY`s_v{hdw0r8jRAw&N6fy(pEuzSsmt>rfei2)(>P+ zSBq8vC^5l$jT|i~31FoDu-HxbMP539qF-7wpRBp&7mt`>i`y$Fs=@Q^C1nC*f*J}g z(4yoS9-$qyozuh^0K^lqChFLnBXgI2xnyoQz&_o-D%z8VF}3eGDo`>eK6&6al8Cw? zneLJUB#~xT?^(6jZG?5vs(0Ia&ov?89Ci4g+fVxF#MMWt{`^lGP+tt-=Z7<~%Y_N- zynT<#Hk>~>3J&y<`#wyf!KmSI65#kzD%|Qh#TC3oeq_b-=|vuFV8*)rTH;NX0HyhZ z0u@Bbot@3vvc1zp8S{*5S&LwD-O!6Gn*+AV`hFD?!Xj~nVKf;{_w8z-QlM57JN&*B zGFVm!eK04{)e;eM;e4FvWw4hox{Eib*wJ4#G;?3i;GA&}y#4l-%U@f2#~n3Semryp z((BKM61cCRVK-PbR08Q>b8dZos7q*x##q(@S@Lse5{HThe|PNVXFK=IeCA4(dOreeW7YtqP%KrryAFga_7s#7W5ORe;uy7;ff4$lQuE@8BZ7JW3++m zrLw?c7*8x=p;bs@Fd=*rv0yz@_@yA`x!%3u{V7S0qgfM0hgJCE(pZVc0t8hA0WuN3 zbbP&<0!zsKEno8=-C~u-@*OAFxZY1rvV>`12i{Bd zof2+Q>9g)Sd_+Nbg}?<0JH3Q()^K`Fn9%NG1`&*G!HK(sBiJf4i0FlXFG^G}rLLXX z#D+e8aQ`iTI_13I?E2u-H(aUt(C4Rw0JBffAnK2DEtMH>p9IHJJ`Yf&Dn2y{92gLLh%(#>4 zW?*fHdP3aPX`zZF{KEGV|6tl?16A!YP4<^}jx2{5=kQlAx$@%^Cr%6YP(Cy2fnmxbs!{gKmmk>-wjq+qKxtfe%})``VP<`zqI>hWi-|V z|1!SkI9@dyP{pjTkD@-tC`y|Y@I<-$hig-OgBDoyA};f8`}53}LmwYLaQwm--Z=j) z9Mg`5(%dKaEF(d%hX5U<4?qzRXRoi?_+{EeRKqdlDH?-fr8J<{02*7t)_36sYy}+u z6I-zbhI;TEq;mI_THZImwY@W>HvN254NQSxFZL(E-H?Ybf7fot#!xwPf0c2MEpS9# zR=~J$2S|$v><;_SZQrjr2Ww(hxZ(IqbIj0}j6&rPw3uK|p1x$NBGI6z5opj>F!@vX-3h9UqBL~{==PY{{X0`?c$q?upSV(ecDGV;Ok6E1> zZYYig*`09rH|sbhW!G)fy_g(*>J1fFoVaA^D}Vgt_aDBG-AI^O?ia(qlD1M%5Zr5q zukRyWYmFK@TIC-jEbAcv31N74p0Kx6;eDLo>L0JQLk)J!lCO1FSS=OGx4vxeC-Z*8Fv-k2K7j@0~3H>9ymoLl%~G zO}Tt=*U^T^)8E^6{F8@f6%MEs1EVK;OwbINi6u3Qi3tn%5hB2sI5?<6WzY5{^@(I4 z-Qj%4#jSt-B3qI&OQG4kt^p(vbDV-T(il;SxrjEUnV@*aI90LN%S46-A_9g`i@p(- zaVNpCo1~`*@I6Lp6Rg|Z`x}4P+W5=^mCx<0o22{$=z`B{W^D^yKz&Z1WBsPm8>Td> z{=2PE8R1Bcm_(d$yv61a6&`o>JjFMZmX(R&T3I8t!`eU92juqK?|5n zYUOBGi)fnkCh^SUp#&ADy*1!^4pbWF_UdN0u~O-R;UqzyvR-V!Ly%5TLWn>XH%yEv zTb(H+`ckL9cKnZj`QShOEdeN5`Uo?4k9uPB#2VH6%$4Ouv?$?-mbR(hEgC(IsQ5Ul zXgN(HXkw4zAV18KXaB{obb{@A>Ey*j*gvs;W+OaA@fJQfG&p3N2CP-NKxUHYiiRNT z6%Js863{f#Bs6dGfArR^Rz?c4_F}Z=l+19XB$q%^9qlhpsPq!s>puq>5&7Ht-%}x% z-cjivhoodwpz8}xh1O{Zau;aC!8?t4s{hOhb;`NRf7;V)>`IMbhq;m5^PXG$+@#dt zFCDX{Ey;+QP}_CvT(qBonn+1(Vu39*P$?|(ZCwPaSxC!-yBkzyZBw9ep@`)KNx8;> zQc*F(fJtjrnd!)8DkrlIk@!#E=8i6HZm2TQMiI60*tPJSNL#rzP;2AR$!L8BjwUH>Qeqgs`@}lKjK~Y1*<5Q_7F_ly ze!QUy%5p3d+z?80)^zI|=Ky?gMNy@_+D_24ppiZD?OQnKf*@ z6Ly`|#Gu%8E-8;Hd2flOD$Xw+o$tTfQ-$fU&?(t`;E{4aJ_g&FAdzBXF$YMtZ@Y&z z6I@oZl~xwSZpHJYb+!{nt*j3t0HmTc7?#*W62-wncVauaU?I;?3GfC`^739}XSP&s z#1aNASZVPdGDk%>V*Cx3AZ@9K4ZgfcJ@HU+joN#+6&RhuR_GmA=-5Fc)q9-2#|r;N zP?$5_X|VU=iT*8>lP8c*(mlfjgV+mn26Cm)s5jbbj_Z6P8qF-}zQAx;crNMjSv1;i z02`%=&tQT(_3$9UmNVW4eCDR*7&F*d2?Aq3_G1SAf@5PPsj%kSz>VV1~Ew3%zqZ81NDPaL-SdKQafbD!y*-u}mc;pCq6=(#tT!p{vEhhspcp<&f zH&wyO%1!k6IX``$iLS5)N0t;|)4sQ)x-F|0o3semv4E){kfBA(C}N)*+l@!jOfqW# zshkNn7UCxw^1Ou9t)Iqf+av%aa%9&VONL_2 zPBU$D;{v5i;QFqlF2MK>bWniTzvsxAosMy*~)(Ak1bm=DY-bb$|HkWNGR@E5a8^`(L*5oQl! zeT8-*O+Nc@@rdm?DH5>kbbEOjq1bBm_>Y3#^J^!pb@T{Ed8kxw*VQEtx8pSRmW(zt zgFb-?!PZ*R{2>~{NTs*oj5ley8L;My7<;t?U59a8 zFzBFhtyTcQpZ?Vld=F#1Qm|kaaHy)Sr!tKJ zfZAdt=^!!eaMNP(g}87dj{I~D&uNK-mr)dE!IyER;7a4WTw5Rb$IDRUG}ZbyBUYYd zP1tZp5wyI|QDY-;sorx{eT|?Z5t`}8?FkmctbrKdrTV)rugdrR z)V{(b!H%8*EKVmZG@cjZ6I2im4Akx1u^t!o=J;s$yZaY~24T}t2tN;z65 zElVPjHLs^7LX}#6N2QmDB{J#R+?SZuYJa4|G`4&g*dv0>|A|AvhXCa6hR~0-jog4*WPBQp$sT2|e)wecZ3pU z3+hCxbSY?+&bH~a9_0Jrlz149%>+{E)w?Mf0|LB#e4>_0LoxW@XEH$}8Le%$011{v z!+;SGU{&?RdhD9Gy=j6?vgP^3lT}B<>>2s=U7kt0LCaMC@kJ9<|EuTER}bH4l&Ze- zJ*7pUNN3Ua8E{&={)&CDR#q}Yo`r^_D4shxmXgTd3(#RLZ{r@TS^d)L275rudrNYL zki=hD5V^tFBf{uJ(CrzSqnxWtFbF7>jovU2O>W9-fPbvG!L)D)Y7n#zt)cuadDyRsyYf|A`!_b=YD!a0U(G%alAD=bIT>z!6W{6LOd@&tbD*w{joqq zP5pVzB$bSZe0C3WH0>urR&5%Sh6}KQb~tulY%w|u^>%pHveL-2)vzQkJ#lK4Aq#kG zz;(pd;aOlol5IPcG!#URJA{$2;Q5rF!GMykMwrt@Ncsgv zqS@A zw&16#mwq)SU;z_>jd>KSsPuRJqg3Djh^eY$W^i6U*I&F&Pq_2V435OT(P~(TIWM0h zzWsBd+qq6Zf$icb27Ak~u-7qmIt4;sKAcuyF^#?DOePKN8}SQ=3$eXUvvoMCnYo`J zZ3^u@M7o{0^g}1q#jZ+zI9zcYwo2U~{c!p<9F$yFJ%#Xhly+5GB}v~ZO`2g+%nD_r z@>m<}g}V!K+yImP`B(+2Y3-gEW(;-jJJH5gtN+x)Nr4Wg#l9Pi)tJY?*gJMHYoU!@ z{yvS*(0Z$UF_M%IuTy#e=zdYcxK-E)K!gs|P_S&Y$3)A<)b)221gCq6d83rjO!Piz3`AeT6-U@mGmY zjU381*@7K&xy7=XhZC_bl)iFxxR)bA+e7Hk@-9J!xC2Z7P;UuTE`3F1j?nku6-@@D zHQ)M+tyZk4tEPEvk*E(0hrrqCi`CJ?(dwxT%L>CceuH&RmK9$4?`E(eXjuIb00<>8 z$$Ifjc!Sy<-EzXK-WF9q@k;r>7$+r+{f&SG?LJ(RdhIBv4`~B2mTe|4GmDP*+t?@kH3w zeY>V-;>NZO&u+yk01V=`_hA*JS6fLDqnd(Dc~U@{Si64QK2vqw!_6 zUIQwFqj#hA`Sx+6Fr)^{CVo@N9g8jjHyPe!lv}9%S?bEkWu*0kw2k>B^PWW?W_S-l z6{Wyd2jrxl1HH5VOWC^zMpa$= z^=&&}nf#T>D*D&zmc_P_#B^$A&~u(_`&~J8Et;rFatN5ubSVe(R3S0O@v9dZ_Itm2 zqASLlILb9d0a00oq^Fs7)b6a&g!q*%^|RHZ>&*5x%xIvo;M@pz0j+l(9Pjkar6b3P zA12EGwlIN$>;*XdU^v&JUr0ohoZd1MYC(a}aQ4UTMTG5Fq9Oq zUw3)DY~?y4k|$@3RLTlqKzG2H;QI)P#0$E^MSp}Qc2U0K*d zvn|wapFIBxHP>eamHcm`G9*xk6jn+XO@Z?Ze>NJI;_Gp^3&-5z7N>`58{iUcxAE~A zLl${glZfRV9YPl;1V3q+x|yV<({_`FfZg`NNO#qSM{jMXlXBikmITI<>d(*#=pP0M zGM_T8v`^bOw`P3Ej3X;@9Rt|4-D!zo=bfr~n%rGR4YM4T<*%?LafJls`egiK2&#U6 z9*ECa6@qvYVA3J=22_H;xk_J@dBFvld7LP#?;`!p6qtr7tUI)&`rFm<4I z_ZV8sqS}&KcA7dAHCs9y4#hyi)1kAfy5>fa53;r4E-B9wFj4K=IIg=&kUbtj_+&ue z_dL}+jzp0ENv0c?kcGFyA5%d!@NO9_elPRXV8|G5D`UIi4Z8`miM()yUMuxhn4_ z%1~d)jM{x$b8}Lnc+1v8Nk0w0gNEch0b+wZ=UN;}-e~<&KZLi~ZZNg#@7P_ztx_SC zud1>^M-S%Q^&Ydl){a~5>ugUAXa8i^nV7I-Kg*o*;VFYsUt+KX(+{sc;}+UuWm}hS zt+jbu2_e+lWyx3uyQ83eRM?r@<;&nR!u6LVVV!m;(l83+89T;gb-&YdOVy0!7~g^%vL0bt zI0=r!OP_}nZbhg*QX{x2*X_RFhq5v>y$!;rqL<^gk(DDC&KNEn8J~yQl(hGhjfqa- zmveP8I9yC(yv4yZrW~KNeXcW_TMv#UhF(gQKdxzC)o&v{* zNs*NVl2(ock~a3poOmp3VA62=olV5V^`Xs~PGKP$2rk4sMEuga(xB3WVJFEQi3!Sp zz>>s>bpD~aL%sdyM*l>&hT%bY?GageV>uIqF!cYm>N~?9w^(rGir4$nWVjkli%J5` zGr=R=cH3=`iV=1|0uF%r`WIpWbZl<2A$SK_-0FYkt>V$yCZq)(WQ{ou+pf|cq&O%< z79y$`tlZx-M)fd*z#~ourCQntk&I8JLMByMk+gyxR>L@scy<2>oDaKIZu7mu0|FxsJ!5Ck&2FknfaZ}e12N%HH)@>{MHj&5Jw(WfQ)iOOhzC&^CQG65iaA%U zul9CoOK{4$-&0l%@+EsHl3dJ-_kOxu6-a>F_BCK3l&7zyqLWu?XoeP@A3gqb`BbbP&y}pRM zC=B$Nl)X7fVQrimG(KsJ``?e+h1O~>t8jF)ktc5J`C~<_?=~cjt>ULVHga~M)qPmZ zw3pOX78nK%NTY-+p%BS}g>tjTSDKMA8fJ24-T8ymF)ZOj_9qBV`<+I@{XhU|K17R- z6be8D6zQ}jO2$)c=*Y_+O%%@k@(ssc z+V^4c+~SLmsob3vH6elCJw)kHi#f8a;DMgqq*Fk5P%dBnHzg$x_@c$P zvxA+X;nZy3vxZ(vf{d;zn6+a|NrWLm5_;|k9yEiKRU`;M_($unM>bNsGLOIkX1vl^ zpsgY-X^5uMY!oxuf#+X-g7x#nN(u}rQzI--E1hh_GbB84cszy)c%Oqd7GdG87z^TObJ|&9)-7 zGSAq?&G-9=$sri^6_`}P!o_C~i+VrT&3XmNQ17rLlR}+yprRGFMJ#U=J(p%Ufk@bz z%OhdDpyMIS2wD*BSxBJkr@crSrL>)Je+(g5GLtrw;>0?#QiRDYG^RzIUD>xE-nJ^EjXMkQpUw~q*^NZa|~ z<+6{Zqm&eyz%9QYC!tWx9uQ1vLuy5+B6n%CJ;g3_5vB-~A5pRpn~HAQVK=P-1ljfC zOAkGCtoX~~@jvdnv8c~6;1ur1U=>hOcWnvV0|KMign$Pp2(3okEaHa4`VucLrNRpr zR5yef*w~bRUO>W9IV~(>Iu!WEKQ@27ury6t=czqAO`nOS(z0&{;(b}aq}Ucmi3lY3 zx-agxCe?7TzyjirfQKn@0xP2*oHI+mYz`*z?7`Q{izmJN*khQRzj=K4dWe1gRn?A` zo(PQ0q`yb)-Ry;#gg2_n>V_zZlYy6`T|tGF(Pm`8AykxsXGTSZhabJI>EvZ61g&>g z02fLYL(hTkbTEEZGF!kGO69oa8Y8$QO$4|m(DbMViE-m{=NUH*?8cCgRiNC2!l2s` zExiBz^qs@+pzB_I{7CVpqNhMKr%YXQ=A?1fc868KHoMWvEsT4_jv_p|h^`_Bugt^- z0$dz*(Vn_9u<(pjqC!oY=Kw?M*^%aP!`ZnB=;=VA6L$x|`ey~mGbeAxa9cJ^hAqKd zGWft9AgbCCKW^jb&Olp_T8OvkahP$E3g|1S>KWyXRM`o6H$^_W>SV%IO zrZm{_$=p&!d(??Zh*R)z2@jA_ zU1c=Pc!{2pat5U=(Q$S30=YQf&hJ~WPH_A9!mX#U!R=u>VM5RA(^CrXd}TA zOJ86Po%5S?aJIlYfGDc1%Zyj-H(JZ&Y#}#fzmNN_K}o}?H4@5ljfTSn5QS>XeV(2% zacrtj3W<{|n9H6)-()vF_}J3_z;Wi{vG0eyV*(m)%8S5`p<%DOo6iSLdx-rC zQ;l}UV!;@og=!F3t)9Cc_J9Dom1K90Dhaj*v0mo4hkg+QI~YPUk*kR8JYshv&W+!C zc+P$%&23jIO09vx9Gh)oZ(lt?UJB8J2OZ^%1KF!Y>z_3Tw zL4OzL?Qiy$*IRppXlTe97|FP$@orsf16Fy2r0Q0h#k z9FB+XP#`vPcaoWqapn&AQ}t+{B!!{lVg{(Mz60H2L(mN@Kt%BTbo7OPB))xl+f0K? zY`p~A2<(GvZ|r*s17nxgng2Itz%a!mQBdC_!IS@86ATdiipMVl2G9J0&3)hx1exq;AYKe~U4?_~!VG@F4A2tnX zTxL<6T&QI#u#uKC#C%lOg0kWC10c%HN?2m#W+ik>X!8wZt}wn9A_Vm2f*ab=GHUF} z{2P*u&K(CGbLtYG=8i+8nF~J8q=h*FIRGJ3;GOw1^vik#eoRnlsu^f4NfZ!(Sp}P% zL-}%KD)KBr{EL~6)zSTa(HhP;#JwiM?E%(;yh!Dyt&JD*Kp)X#@S``pGbF(UJ&`x% zLI7K|+Zx+w-5aUF=k1iMpaeL$%N21F@ImM5(EkXnBjb%;}B zbCok=ybr^M+8s;6&V4ZmAGe0yrPE@+q($<4wQJ&M7xoF}{QXm(e)uQH!Q+4U#xcwS zg?-3Zk0^>tAZ&I%9+IEfF||+@fZ-Bz8K)042XILsH+qj#w-@>nnX-j9QqOZu3N*r zpabbV@g@-5_@jT8+Wt4wUqguXQrYC0X%rI)$9Pv6>GXznyiG`5e7B zd&L;XfQEMQ&qQz#aNpmL|K!@E#p__Q4xrZ$Cyx<{&}sHYJvEOTiyDv0jX~27LX3?o zl30^l5;&j+m<0^!38-cA$(2~cV`vow6nYJo!^&Dn#-4le;LGp5cf7A_)k}T*jvmsv zpZsH*9uvU`K=CCO?%)`H)7m;R8;Ns?100%z6*`2V3PR`CAMVdA)w_jkoqIJ45u$J> zB|&c#fXP6Dg#I1aM!hP?q@ zCQ3#KR3S$EKfv5tk{F&wqWZS{ zt^aB%8N-Tvt=j)~M^h3-mUOdjh*f5HY&r!39hV!xoB%_+Nha`b3^Gf4Cup8pjn1}e zt0lRQ-tSAGwAk}mR@D_5&hNKp7;0Z{B(E}J<`*Y^Wh|~Ne&e0zIex9tM0{_!~9M{nHt`@S1sQhz04 zVv_bki*QwH=D9vMl3MoZn#{2C8UJN;VY$C*v^X-fZ(ng+vH160JC$)u0Qw;Qh)+G( z8w=8ZuDGx^r57>6JE4(iLpB8RPgP{*33KZ*`Q zCK5

J&gR-SjZN;SV=j8kUmBE>y~2-l;|!gH=i__g7XCQzG4_h`~y$$Eh1$**oP^ zC1K0ma~9lX$_qAhen0Uk!BMPCl*owGlnyH?itDaofY=Cd0VjkI#uRdp_yeiI zKfH3-$Jp;nx9ejOWl}TgSCC86Lh3=aepyYpirx@uA^zAIDtdlPX5)iiuX^CqEoZ8} zNR@ARWO+62tP-ECA5hsPo!9tD;z9sR8yB|3Lrh9{6BMJb~1p z2fvm0lZ2)VpzeaBV}A6jw_bm+Z`a}CW5u0ne`ZpR3hd}8_n_oz_dKJ$LjpgF0rt(H zClJ>Rg)FhwWA4;;5xTmdoy_%w1JWo3q&UDj+V1QA-wUR6l%zk<^sZKWuJ+%ItAkYZ zqA4BzoJ0x$(D#n2`1v@(PG5QYaY4&NawZ6_{&qV{@oNwK_`B%22X_^Z{P_2H`6nQB z-3U54+j6f9JbtLr4?4!jQkL|Rqf(+;HZF*FYFkKTi6?tW%u(fyP1vEgaAp^}0vJLS ziXSp+H(qQ7hMjA1-bN*r_j1=vQSz0VFJW)WM+rg`Q62hRBz8Fx<|EhcxNUdRFkK&s z{T<4SbxRUYCMYmOSj4tHS$j5?R zOE2DaybCq(rDMlb>bAOZ)dK0bP}#700%>xA{C%fC1vuk}CUnr$2fwk;ZROHKe|TU{y#&z1 z5c_Sn1sq}iC4ZT1Zw)^D7I>$&Q=H3(& z6o~hP=|WMYLt75tg~sQR#oZ(qY*JshTcKRI-Y7T9HynDiy%%El@4~W9KXxrCCQXTw z9tKDowpa>C3}3nzN?06(M9Ab(sjHq1F|2^3DWPW@{njMfrwE%B>d<&!l6uBh%s1%* zhei`;z;H9S#Zvu`o=%*Unin7Nnmp}3(CMHu0_Hn zkBXFwb!T&{RB{OX+qYrI$#e?QfF8KM)hIoa|F`e?|G4RQ(#8e651hzjb%g9nv26i?sseIpc#V@)e3A&(2h@;Hw zDs!bsLR#3z{6R(RsyyolBSFq2N<xy%#+e%uuY$UF#&R!rgny~XweAvum)0s< zfEi#b8ydNO-hW<h5kgq~qHdz_JiHx(QR}X5Y_CH+@Cek-4`8Y!~OH!^9 ze+*5mF#unp9xczLvGyjLj}YU7Q5F=W$F*qIqdM0$cWu0D%Dmx1&i}H3zM>AjSJ8Nt zHXDL)0)GTSt{;+V&W^?yK@w#GInLo%m@Fgk1O{nl>UD09kJD$N+@Q9WTP=2=@tTKz zdh_AF3y&0!eR$Lrs(RF}rutehc1?rEhT!f5=bE=Wku|4oQ386&Kmo6pcpASE96t2h z$++WBc2xv$ZFT3DRNwX~zDi!M`wfN$xSp&tuJI~VHb^di6no;jNz5Q5llUj}m$U|{ z#ZY6*fhNZ`I#m%mKBA%5=PeGB+k;9aAq0r4G;rLu8;x09v-jxnW8j+h6=y=ANxb8( z4cmTGOF~a;J3^7EmG-#97u~`*ddPZ%5~Q34FEYC!maG3*x`%A!7v{vPeI=EoTLYxmp|C zL;F>3!&3_IP^+feuvC6w+-jyQQ`2Ce_7D>PZ#NCau;; zfU~>@=l~=lCe-)WWC>0$f&w<4LQ9LRiy7bBmk0hwiNfA{r{!+nMC*hq8{> zVXMum6{fg=I;T8U-pZ_!)&g33cd`p5|#5 ztU3JX0VC;^%ipf5*Ewl=jK2AZybag10WSblMa+@S@;7w20Cx`m+8P(~6Nx+(3mAYg z;OwvVsm#Jqms(#BO`d>(l5V3M#Og& zkMBG3S=i)*%;#i=7@g6No7e*m*GN+yS&axrID~|?bHkdFgBi5IJW!En2Bj%t)7GPR zr9pxZ$u{c}7^1M2xgd~o(hUz~mH!{Vc`>~$Dd zGSCvL<}zn6Up5KIlsd;Cby)Y0q#`20kTtftAhiPVLftb|lq4rcCuy=*%QC!K*@s#& z53%sXtHCF8@xi&jT5{U4H;X%w)sgF0>#V{=^~=gQrcKWX*t(0Nt?f{S=a1V=f*R|- z&na2Fff~~rveIB~zXLZKeX+bvja~$@KL2|S6=4pW5Cv%cPymFx_eRX*DmY{2*&h>V zmHB=(=F>Oo4^Z7))5mFPq5%}k|Ni04Xr2E!UL4+a`9bMe?wEP{9K zdcH64Z!$)qTtpy&VrT_@QnDlAkbb4W1WNS%Byb$icYN!r05bT$nN$j5IHodt#n6)ods zy7-*3(*)PSYJegaL>>AN0S7|59CSzwqf6+CgLAd_0FPCrsa|y&c&OADI;N0WP+#Ma z$pl=6pi-dIM(R383ltxz0Fb~<##Edkti{G6W#L-ngAa@*EP#UDg8y!99{%YjD{l5l zmZvBPwTKySUVHKBvx`T+yYKn8|90%iBtgqVh?{>_!X@Cd0@=UC9Tf66Sc?qMmy(Zw@6y!*1# zep_N9MsE{0VGqr;-&^?mE>K{Rdph#mO~;A{`-;bQ9o+HJzqx3Fb&GNaohVQgH$g18 z^>RK$8Ayv$DNP2UsX!~FLC!5N<7~^DKP1chxW);*#a6l!^a)@z`Al z5AHj<>$Jahy|wep{~{8jzHC?|7`+y$(ML!b_cb+7BevcV0*AnmWKi$@Q~m&;1J;O% zXAr3mqfDarpa*ZNZY9Q}vO_lp1r*>Wz=2rwPKgT%As1W)eKVb!F}&)lvFBk*zYdpd z4t+=T^ucqGybDfRvXQ$#`0I@y9xh(`Qt`-J2VKeS$$PzSQfwL4bFTgOLAivPc4hXq~a$EYa*})^2(8} zmku5+e(m`2Yi@q+k6rFqJZVIBVLQ+~pHj)L#a7$GNoyvkRePqiOvQnp9l0Dz02x3% zN0n;+3Y$+1bp^XFJu455t!JI=nuh{G{6_wa32Rg8^%c_w57tiP(hhdJMY6va(PKUz%3>z(GW%qSe1MwdS$^Nr<4`rc{;gYIP=>niT3-u6iGp5ptnPGVJj28WDvi`xBiAU+)}$R$Y+kgG+~ z1ED7!WP!=CdsZ^c1T@L$6TApiLRVLioeFjOcP2!FmLySv(0(SHURbU2-)fmLJo|wu zEoR?#?F>}p=&sJs9wjTD$B*{yTLVV+2n~vl0kaI)jE8oZEX|{Ctn=Ilt;)cW04Fwb z`}HgV^;*okS^2Jyd8rOG*wFa8@*P810!ohHNEwS(uVJUNpvj7-pSf?}b4L!p^?yf; zM>_(el(8Gh{gsImslZ{sY?u1(zow~38Zrk558_GO{*4fVdLDKxtTA?i9NvFH?G-g$ zq(4MLh*DiaX>!?!)52=hdO%NDbub z0w?dto8zAbSwb)7rdlQTz**$LwmNO_1IYPIGxw-xH)Ajt^dcxVKsm$`*HTqK@k-f& zBhNkmd)UCo*JSc5K|htxqE=@tKHun7h4W$Fi;Rsn3i6b7YB_?#g>VZQ#Ly>r#^Usdn}QxmTDw-%qR5zcdO&i>kuAy) z?olT^`?7+TXK6j+6^_0&%_O+rq{itihAN}cL5LQgMUvaGORPS}=kl91_ zKHlip&ib@Cy}1o4)S+#S9mI=AwOzU@bSnU5kJ=mV-C+FsV~NL2FshL1d9v^6E-Sa; z(I=Z0naDm`$)zy*fnK*;Jz zQ{(X=Bm)M>2qo3BiuR^J%ygLz&Y~R7YSpz?yPEubz}qMgnoPjZEMg)m0mnQ!#%Dk? zQ+;!OeaRjKaT>7SQ|GS{6i}uaCMy6KT50{0oMX~P%lk=X^?9%fHDH7)1UG>pL&M;@ z>jU+TOQp@a4Oj4>Jqj6y0^@%avPN(bj-Y}{%)$&BcpO!cOk?2B(}`>aiV|Fe;pfc4 z?n8`DBo>6w7Jou4LGm5|>eF%Hkx|jexR$9X4Xd={l-2>HmptY5&sFZiOrE*bzHZ$zNIHaBpcY=54f4 zYN4vX*Vwk0Gs*V8QJ*f*A~0GpQz2RuS(k3uEV4v9)6(O$1^Hx2Tgr+% zB(9Jwr!hYjyinvyh$~PN$e+X&A&y5ubx!)^>1t@Ir!3@g(knr}$(Ova`Keaj8p5fb zrqg5U64??S&OU4X4eTSIT&Ax2YEy&0N!&X!`FIX8;-5K<=b_gQvcjb@$P-~Kb?ZKu zoHK+`Fdnp_&OIgSbOc=Elj_wHuFsLcOjjn(`)%O2j? zXm(@zI6N+B;kZ()rU#8vBI9|?E-3NsBy*S|`?2&j%ZGX-OOVvT_ z-chT5hYJ};+oyVw2mqbo$;hRu^Fgy^s%fD)8c;NQ00f$u0*yFIVN5wIsap#H2pkK? z8p%k1f(Z2Z5T?&TP-q%n65fyB+NN^K-=&uB8jtb$t(G6SLWHiRo==jzC0;JfaSPE=%UW`x3iY2^Wv4vN6Fdf*`gn0>zIk*W3 zY?SORw5wHbPiav@?-{rn0tiFkgi@xy)Y*(%AB0Ve2+Bf47n(RI;Ve=bA_0LWj5<{+ z3Jmjjv>gvZyIP-w4I&!IZ(N75BilE@pw`YB@^2@igZ+)`Pg!!p9QJ_P^gG8CD<0H=UO{W z59ld#dUUJ3*LdROWvOq>pEzEv+#8>i98>?InuUDcgf|)qUEb2wC&x%EXYhd^*%F?j z&doOX)Q%rSDkjl9k9t8ZecU#j9Dte~IGih7sKFUye8hYKwR)>)!rW>FAJtPi;MyhQ{?J0jJ^m9wh$kU4$(3oeH@x4in z0ZW)MLC%cTII#XpH`a3_qa6rkh)e9!kO2xBUm(0E-4s@RA_fyGGeS;4a(1Ec{O&!+z#ZEX^QyzaITY-xyGFYp>VlpE z)VKvLYh*$~62WLZq1rNR1rt(a7`W&CyY^2un1r`wOFI{4FcjqIRtaq|wl@WVkrdItZ+uoeTJ5c2yrj#a=_}7gYfunIUV~ciEC1;F>6o@W3Sos~pPwWad3*254 z0}5*W{gIksv9EdocHR2wzpRQL?<#+HznfxKZF+1%qblsIvKA5L%ouWjd_ea^9#!h& z99U!h%`Av9rNPsX@bycgoFR-bO*yk%3L#N;(h9-)JCv|M015)~G8{mS;h4BptsBE> zM`G}iF6kt-!a5pLAf1wTG{GHz>>m9PgQG$Dl=OW%!V%h3e__s zX+f&`v5-K+Wsnr){TwFb8YV*f=X(>Hk}#RUuil=^F_VFUPT~~AnFW&E-4 z1$LAyownd?uGZjP>To7H-p6kC7z2$CB=I3c3@gkmt1O_&meAa6+#;}3@uxL1-Govj z2mt$lxNaZ;i8D5e$iZ5407F-Go-r;SOd%-^$nD;?tKqymYs9B;X6isP zOcNb)$>V?dL=|ip*E|jSbk^ICmJ#N->#Q)f881j--h)ONxb=U)}tdapPdK%9x^R({*)9o^MZ8 z*~dH`%IO{7JS>k||DhM8wX`60JdQLstbep^sc`bhhh>5|>WwFe>=ylyF&D={^j`q% zDP$p?>c*?^30fd0h~4gwzX&QTTj)|ac1>}8fZ{lK`3i9`M3$7E3Np_jolgkwJucp_kd0r)0w z?qx&>LHeY9Z|uG{)1i(6?66~rWG%sZTta9rvxmAlP2ib(1YdsqhU0ZP7VJkcdbnv- zGVaI-N0DHZWOQ4!xe6`n5<Y=ol@Es8WR#=Qt2kzRu&q>L39`Yd&vLF_!y;*zp}ojB8Ce%SvrL5+rF zx!Fx&vk7LP64)Ht1x0xU$PI=hC?t{Jpp?ji!V%X3l2+L8=!Rx2EhRHqRm#AXr{E^Q z4pJY@=P2OG6jCx6XJ%^CmnJt+8Au8}Nl;J?(xFI(bia_&ausYeYleqjE3GrHP|lLF z@f&Y7W~k9Gg(rF-tx>6WIrsC@iWkR#=LMRV2$m2~o3Tr-W|UJNg^U0}$42=SG(Tzq zpO7S?2N<%yGLolG!Pq+IG6#KR1%nw~gvFKepeAerj2_~$tawn8-7$d2FfG*N1n2;^ zn@yb(+#L?qkQb@}b6JRTSjlj51MX3L1u52ajyxPzFa$I~n%f5u*xwBc4>rr9o)f5T zs18c}5GwjhqGFj3_LTDR^2T{@Ftkjb#}j0Y@j;H(agT4F`tGc4qclW;lNm=Lu@%FC zG_|!OqbhjKtVT!@opt<6?-hB9nqD$L3^2gR5CR3!Wi9Crei6guL(wQXtMiiKi5{*= z&U~UL%`N3XjygCMjm7(sq47c42WNK+>MI7^2#oOX${C4?rpg4oQPMh#c^t#HVfVdl zOJuA&PeDvq^A>L*tP+u*VWf2n^wJktcJyBfJQu9rAIKpvK0=;_qjLz(%!&$Uif4I=DohO3`KAZ0fL6o)`k zE+)&Jj97l!vC^RS8i}T* zduYl6WY`w{Bq<2Z6Ox*fpp-9-!968oD5JanTv_e2CktJ8U9ma{IvEhq zSqld7RCL%tiHlQWdV#Ng_50iFRK;a--)>uA9v zO>`VE61vEiz!U+{pIZ7weVWvo$ zq9KCyfy8nLM_@7bm<@{yI4~`6Bo?mjghmLNI1H*bJy;(@wY2sl{lXeFyW_kMWeo$< zu?A7<9`2`PcMltvCT5x>D36tSV6+dWn@Kd(vIK(XAN;AC!wd{N(EiHQm@v&J*I;W4 z{riQ)9jFVtFt68g;!JSK?1t5W`MtD1zgqmI+UNl~K(ssPgx=hAw#mBL>Q@bJ4=9+^ zYYa#ljNiQi2OF6+i0a>TPkU=eCCj|P?SeE>LqSIvAp!j3s8j0;1=0+4*n5@lRnlPU zU$l)DKP^+F<3K(Fc20`>p6;Ox+309d>bxm`K$vmd9dL)88Sebgi7@ZBr>5a`mA@`< zj>iT`G`?jc+=H_YEBL!MCv745)!|z}C^6(P zbL==0-hwL9i+~j@3Pbufn-gKUYK3l}7nScf>|ysn^EMXB*{0~n)DC*=71Y}WX5Z$d zY0AvqRh6(e+6FQr({cE*9S^2h+KiUXs_bW8-BJZA+`TZbuwhl9X{yDUHSwV=_E373 z)PcxZhy#e@uRt#xe`sht*sC%r3x;cn^hW)rpk9m6 zYJEevY4Kbj&8P;mn&L%p?GjRx=s*HLz8Hdn^wMw?0-+3v5rLinXI5#|VopaHIC?7> z5WhEvurMsU|Bj|uSXfKY8Ffw1p)CyUqlvF!B#{FWBcX1+VS(_YtZ{(?z&-jur4BnC zX`&Mpq$a+d0)hQ*n`fa~$#oUniXezv0>lQlpg+iZ!;RCXPE|jBc2>>*n&DAs84~f0 z+VRZteoV)6NKn|c*rNHs4%;SVDSsJxt-;{5Sb%!RI!Z=Z!a$P9nR27u6Aq>?&ZhLg zn0wJ+TZBQps6lo*9nP2}1aj)p$6MkK399cL`sUC#ksyP++Q03hjy5n%dMgCXCh<

t2@>_4XwGJXTfxHHB$g5>~94JUbw-<}^+Yz1!FYUB^On5=-nCM0nj@eHP zr>eY*aVbP=`AeDzn?Xx$BfEcAOdT4kq!=Sz)%&*Uy|CNd3g?UgHt9nPeCXvO^zs*Mjss*QC-+h4@qSpaBx6z z@-&)`tmXmjqJOj}I?%^1F~RA>^nU-)dNk(8BzdKPlIKv;d(H`xOt!SRo4kR&s`ygi zK#{p^54p~$Fd_%Cgt>6%X9^?T7GdRfP~sB+X$=NGi=_1kK`l#i?ZJ2&F`G=0P@i8h zZoG1Cxy;@me^W!J^zk3`pJ(YovSO)vhsM|1K~9wqWDoroH%4bKDYF|+utezDV`UX4 z6BN;8!{^)pLns?B>^Ptb<0s+*kT)YySl`~%b~Ac05XsNN(wQ#4jh2Rs69n@I{j~(@_=0N;N{WQtG3eztI#yaDWdf?M zNh{T%+s(EqW+lYtus-gp7;c3xBoHz(z;@ii5_&s~Vo*&M)@(R*Ys)OmWkh#--K6Yp zj?$k5+F39&;{#U!L8Ls{Zy4ru&eII^6?D$)O7-oBCRD3W?X*JJtU46%Vl^Pqd7TtS zunQR`Uein?%-AI{ZkTW4Ttf;*ofgLXAacRGivgOXfbID_n33?(23~+_%g#5{vNaII zNH)YNAVC~hO;V|G4u|HRf2tXLVX+s>DDgOs0U+;K7|9w*jEaWyZ5egrAQ#F5Mub-F zt7+EK&rXnKzQpyueJpJ>i0&n>Gw%h;03Zf{#o6N!yf*xDd`2agO@anbpkb=6i=-Nv z3HBO;1^4g_n&n>99PT;XyFRhBEou#57PHf)r3q($h;d3Wd`Tq;;<_!ExL`9<*OvH- zCg1)=Aym!C{{53v7KqiXkse;l?Bze6>*Ne4`|9jatsuMK@xUeMT0eRC!?USzq28|# zDRuzO5Kb&KGN?4|IC56d!j7as%M;;i1@jlytg|z~T=jl_roPGQ*%B3R>o^xD5<$ZwioY1I@aZa}1#Q!k0&0C) z9-WBha{#D@zHXDQ8G?Of^anC1d8?ky??MQWafyN9l5xS=(B#$Pwv?IZU2;PtA2Taw zy!=F#YzZ`mfZ|1vOt@&J&rzD(he7J0^|W-6v?*e9a3oh zMeoQwDd7aY4UhkHDACReYO3C5z|WnR@uGKTBsw610?_pMmEte^pf}R1dcS8jEs-2q z*+X`>Ee3k5H!BQGhzM6MDKm`S6eWlt`hgnY86y5p{}~T_sD+l~mo^1x0#BJHt%(iV z>TPtKwXk22_|;p_HRdeFU4oyO7UM__%8!;0rJ`q#uHT8)7I!i~)bP zz|r=~8Y}&!B!$<>LE7G)NC|lJl#Ya;7(Nu9OE#CB3@+#a-6=?DVst_ScYcHTPq0eq z04wd!SlWGZ6}_TPTSCNfHN6P`2Z|r6Lqr!->OR!$x&Zj4F&AG7^ob~Ou-k4Hh9M}R zQ_i||ctilunodalDy$OAqc@Hz63DO))eF09_>62;VohUX#rAG~nvQ{eyxvE~PieQI zr$Zn$3epsK2ViKCJ7+|52y#5DHl60^%i7C$6kU>BO(y8U1Rgp&phTZ~AwE31Ab@*h zhzD?$8J_w<1UHZWvAqm+7+i`t<_Pxobv%m2@wdHz6z1u*xZQ^Rpd>bG00P)y7lBjH zq;Hmix*QvsE$ItF{chA9xPbyzrvOM!^rHdW}|0=ar*0iD6uu%nR?R zYt4ymPCUgDrE3q6g=xc(1M_O@c0Swo5pmqR%oFvDHsH#?Gh*+P2GSq@iOPGpWvWfP z&5p$=$;)f1nKvw{<^ge*xw8Y41IW!m{J~Dr*c#k0dWg`Yua|;K6KKOg<1!J&mI4*_ zm7Q-u6*qWUBpw2B#=5zoktNBI`NI;)<|bTep>zO_ z+>tHN^Xcz{QGM-utKiw~yM3h|fbPSYfWHJ99|IRK<)oIqQhx#Ms$CD`Ba1Uvy5xGY zS0daQYG1gr1)_>YkfR!x&Z@i@f`5u%VC$I(Ot1C{aIm1&LP(QnHRT5u%dCArnPx_h z&VB_&00y3v$rhb=LBxc?9nfkz!VnKZa2i7Q0z@hyFv%32pxLiwn(<`fN-UEsIRiRO zVDORc)=l5e*#^~LrNj@x5F}_E>OWcrkYuBl3}pQ!X6^g>Kf!ULwu1Corr>C|gZ4C_K~3S2OLg>T@7;1q*(0}&Bte0cYz zum!3|+vJJ6svr5H^_qY`=8U`WqT9OHVAl{pzU$RZ|xm21h*1WqCXR z7^alfR_ZrMcF0W@ZN?qy4FD4d4_$D>u=rdv0|&tjPN8kJ_p+MCfLH>9tU8>)#v3NJ z)9Vw^)G@RhIzO5~0#%_AA8?H|BRJPlz!7wgcsqh15IUId#yuE-FhP+B+k#w?-*D>Q z3fSmhxjBh5a#JR~=+fNO-zIBrbP5M>RhR|@0_#0|G)VBsALU}{1E0BP{g1#R7^1xGn?k*j@N?{kwi`U?rndk*YPifew z@K0-N!%?4qtSzGc{7k(~YJa%JV3)6L2^WT>J5Xch05t%5nqcDdBy|Ts`fZ^Ki1HHW z2oQ5Xc^TFI2>^>>q~uOeUUUUqQ;yNNyFMBV?Gi}L4aHG;DabVTZ)<7p;HdtFNV$XT zP-qGht{gby6+PXER`B1v)HmQ|sbxpoTBd=OO0do46RAUUL=lE1@t|5MjTibb%>u4y z6-Li+^yZFnCat1Vq*7^lap-Jc7i6q0i%emU%0^rAUQocRs$xQz*6NX<%!5L;-(k^y z_{-UNE2S!76L`(Gg^LWs=BJ!;O3m#PbOqVCca~NE=<-+_XR5#{mGL0YqoQQ- zw&K}fY1rzKTD^YmWUgu+9|Xq0Pvyh$yJ?dRMDtj}m0Jx-VM!@d-%cuYf;}KW1>?2T?d5-t=GIG-QRfjayW9 z(S<8*yIVL974zHZsw6Wt(P!9s`j( z{-N-iKr=2875@kd&_QEhmE0wsb}C0@qbt)~*s76Y1>4GzQI^<_91CPJ-xsbjo{V-Yd3x21`Q?fw%x(U+gJ{*~7! zt|=EtQA2613vD3xn<|AsI3j{a21ktXkTiG*qV8zHo3IQf$%#o!DmI>&k#$LHEr~C7 zdAVNeh6ZicN#6h~Ly1S|7)UY?Aqi5!AKavkH%Xr85pH$iT|?f`$1eu;svoO>_#S;~ zm4TWI9Y7-2u_%(s3>?Mq{=xDK^}@+k<13&kG9Nt5r0YRwbrk#z(hD-YmucE77}Fch zaKt7NTM7!4C3H>(i9L@sBgO#Sv5Cpj+IBTzTS_!YYxn?^4V$UYwL?XXC)~jY5&$Tf zEWY<$mKP@#aztKATyygj910}K`WVQumqh6W`Eu$3n8pW(5B*^_EEM8?!ZdLix6vy2 zN_|}H56i0KYA7bB}N5kN(`5E4DIQ4b9c*w7|4!(&1d)7mH(o%8VXeyrR7lpe zec118t}(2B;(kyt4o~M^V5L%*OrU*skY1I0Br;EB_f(005@~TLteR|eu5QmL$9s(Mc%s%aRoc&BkMV8#^**{QYb)%Pq3L_|& zAFTg?)^bcnt|1NIcJF9Iw_|Ns|IWBd8ZU&#`8Pth?3>R7^a7F+Rryfmt;XZd*AX3z#;zWfQrCvG z)-Sp!g18XhJRz}>bYB?Dz6G{bTJ{Q%rQOyOYX2*oj!1&M;&O&c!7u?ES>T$+PRGy# zsUdR+^c7u&7EPE5&}6wg-++#O=cz_>t0u6^oVY=G3e2)4N@ZYv9fKY*=PsD+?41!F zme-dyYOv6I*%#QT0(~ld;4&zV;I>46K~E`+HLKpw_$Ok)>VnDLXN`)kv>Mbm?h7}o zyY34+Dzn`iYe!|vQanpgK>WBA$#uv0jFU5UvCc=z+iAeM0Z}!G3=^q;t_WipzG*|< z?P|~Wye;aR$qO8n-v?GV*(**_&rPWE5AXYGAgkBzs};YYx?Mf?-=2kJT5!7QPP4*a z*-i&BD%JM&iAgHC$+A@O0q{&Gto_P9s0?m-rDV9O@GlMj)krFe{;-dHhOU z+l>45w+}& zGjWS3#R2Olh%4$L!(TM&-1b60Nb1Cp{2&S?m?i>TPcS`jM54GY4)bbj3uiHWq8~`q zl|*1LCio*X=pEnyv!L3&aAsI-hfGUle%Bft-hOXK0~T{dJSZ>smUxHUn8qp$`8dCx~J2+8#@W8fKc!CP<=m@LqK_f9d`e2}=)FN`9 z5m1Gmb3-ay$f854=|Y7E>hu7s`&2il-U14*fAIqYmr}nAHlUxVV*rJc0tG~f1b$o~ zdJVu&KOPXd?%v#RnktM`RBXK06R)REiDIDG0NY|*zqmuuQAT4^jjH~6eWRLpf1(;I zvQA%JjR(1_Z#>X2s748V(Tq@|laey^*1|5P$=)vRq@ zwyZvPEqwD&c_Ir@enLVAB?R3lHXnI37gf<8O$n<^Tjk8*mD>WPn=vLXnb54Z%^F{J z4h8+7xNXtgW*Dl;{`@qPQcC2(*?$Zcy4~u7>xTq&#j~~^_pr5$!#j2R04o?}wga`L z5d%X|&-r1K-(#j(9tOc|G@SL&jbjI&=Wc7 zUqNRHwc+9REXiIlNmx*INDOFyLe*_snlE*`jsiFA!AHvH4U2aJAu?QBu10^`TCss| zt)ne{d!dUjf(sfsWu(i6t_phwa2kW8=?_5t0f^{?OC1Q`6d7G8f&CfqG*C(Y^|X$e~sM*iNP#oE< z4#*J3J!%mP05|(FlqJRCup3J96KYHM1Wdh3?g)HZW#Z+Q3a`h6CB_iunq`NrFY8kV z-6BumRC**Hf-^9XsB&sM%t4gLJ$^=E;H^Cy_cp7MyQ|B~+@eCy zaKVh4u_l34o-Y_;*m<)9)Eh({+`yLkjykn=ViW@MigU88Tk51l+7Xl?(7yzaA+BB7 zLP1X>jvs0BTn~Mr&{PxxbShn6dHRT`{r^+bSS4A$gd)`2a64Rk_({y6px!{ zh$=eZ8@GYK=-sgITTSZVpPTK5Jcl13USho@eT>0eQdxy5!j*F>11kGb_&O2}5Mh?x zYX6o`p0=H8tTz!3t5y&{9_`@SPw0C$xKtfzN3GmHzfpPHD_sX~s2rstfJEp;TB zh5}?un?wlwY2p+DI`mF;;bKQ08W+ZsYHvKyqxLj7KT-Lweacdz7=BG+Bwb&DVEAH$b7cKA2%@KnBey&#{=C6ZoTqOvCGF%aGS25 zO(Z2__`jC;GmD*xQgJHt0eoZyNIbsqp8&<=EZ+#z3=>*fCHyB0V7muej)aXZ`(Ceu z(u77$2fsr{!6lUAmdsblUyg?firKYX?J4WP72HpU*Ff&AR^9Ds@#ktg^ajBf{x1zYv&aj|e&#_W+gV1Z8K%CZI@N0u#9%gY~LmLDJ=QO3GcX)-%l zB^b_zRV+KPNcN$S+PI+BJ#EjU(5ufy3t)>A3{?Ry08T?mqeow1L3Vy{VCVKom&$Gn z%u|JXtPUkwD_YdHUkAQNOzU#mWLZGltBsY?#O%IuSL09CT3$M|vB>&=jJ(cDG?M%nKfs3A%^q0>VP2ALl9Z%j3(=lMB5vh5c~lX=NQsY3yJg{*HJYRNfjrV-->C=uClLx9+VUwV@E}(^C{f~+2?Gm)?K&=>w+h3S$fA3mxq>NF+t)hLxmMoH z)iYO96ArA@EGc`bmku3zcK)2c2W;Ub4ZGxo_$$dt8^5w!HXpa9xCi&L@~Yj8$NWWK zOBv{fR5M*HME?424~-@}mR;yv_%ZV0c|w)xl5;@UdD5Nii{$!1m}@Om*_VBoeEUl2 zwMn0e{GwH@o8z%v#r^@cg*yZ4DY)fjV(2b>-a1O?d@R4vWVx2EcatS|q$NCrC^PFC z7e)=r2m<8C_!hHF(%}?H2~bEDV6h%Kp9_Znv+ZxEk9~7B#t)G8X|E|h_1&IcCiMna zt)plCOxVFU0G-6w$d1{q;0TI%6xDdZ!EXh=BwSrm^n>W~+TO%E*&l*RNvgWm|b z9`@=*H1%*I`c_&Cv!f=a%S$3`vdr0d=t0`Yp4?5G zR0b4vspSE9gT56k^ERAt4yr`w}t<1Nm@iBiW33yKYM6Ioe1aH6# zs^auUH9+3UjtST~Qw~26f1Duox4ef@i=NNwN`YZdg^7m)zI6Rn?MxQ^;iizi2y!hST@@(!0DEiN<4iUa8w9-5((AWlY6 z{FxWiXE#Ig#V7PMdMxX+9f_!@!?})pW%P^Rt5fi)d1>s%_cW}EkNr5EL*RGa!>K%a zod0&yxgWVLcR2Tr?zbVygv>4(C%M6!25%bYluecFm}8j1BTemD$v@Cf-)y@mHlJM86IcFW^~$*NUhH91o?Ja86FMvP_KrcQd~f`~1+95>9CZA_a!dc) zG-V(aSg5JIJW3rS5p$gN`e8omTPifQwfSL;2}qL!Ls=`E0vykWOZ8E0@P={*tTVzN zL)IyQ*rCpI0JJW$&e%Y(Ey?M(;u_CFz=g1Ls*n^b3T}R{(mrKXDJ_)`6b!qu8hZim zRKY4{Mvu)PL>Y9-nwm&VFQX6Xkp~q?Rt|&_IecVdlU!g^j7R(hJ#Ucqb*Q@iaQ#J` zNjmj-(0jP$fAwC{47ig ze2;<%>`$JZ%*~ZxVJ-60=GZBl=`Oo2K416iBtT6q(e}YpnT#_x(9OyxJy0oWEG&6# z+ekWRgszWh^=;_7#bA(2T`mh1x*jHoq87)}Bdq>YNM3SsD76Ly>R6 zYi8`W6#e1U7Z>lJj(*y9%!?oSRZDlsAU=6|{FhwMy)fPVHbW1y{-vSMjjsFb&GuZ^ z^0WthT=|BV57B`Iw6+=}@y5IHr9dqDfjKl7=IdO#a{N@Y7x?%n;RyRX(J!NL7{1=eOWxv!L8W&F>rpoM08 zpU)NJrSEU)T_1XjXFi!q1TqrG7NV+`LF9K!Wy=@bn#VOMwE`M|Z$<9X(JD)4c%M*+ zv}IG{?1lMkus~>=fYnN2rpPT3of+*_sNvx@p&MkgfFUibXFI5Tw{Pq9f@2{A{o{|7{7~0-}gHM&FlqLm8yN4 z<5lsVKT9u=gIE>oG?eSg63|_@Oy0rO%t{kq{-os5A1qpk6I02aB8GECiRQxNGQBvE zHN8OciAtO1LzfAz6KX%G=!FjI%(X81V?GXl3|Zr0qPViZBOh__*=I-#WHfadAHON; zhS6z?ulZ_UL!L>Au0x9X(U)7AwL3AUCuag8S9 z(cAn*)6oNRZzy-Jadi6dp})#`C{tZMZ`Io-Q6eXpNsyCR34qe&yiK{bkQNDj9jGqn zq?6|ZxmL|sOEx{Urwzt2GOLgP01S41*}JRC7YWpLSBuG~ zG6?6(mo}~zt3hd9l&Yo1t5z_Ts;GnEslCcHR=j`k<{J8)3Ef>Rx=4&-H3|0jo=(4# z&c)?8q<&zHV$5hgLel)}xE<>7UgyLrI1Imd-+lKD7L=zI z`eY0u(SNG2uu$N%{c!H_d^@B#JF(qdR=(^I2?*tNa>upGGlY>nov?vpNQYO<}6M*hneL2{1wF35QPF{#0_F=s_~wW6q3{Sd;{l58eHutS@y7*46f!@ zC@cN3(|6mhV!|g-g9b+`TPt9rZ1_NKQ)1&tuKEq~fm$yqL2jdfrM`rkCqL4kt^C>P zQ|tRa=tS!8i7Wql>H8tA&)(y$Z0<0&Dp;yalbjXXMTZJUf7VALwZs{R&0juM+Pm9w zbsA(gpl99N%Y=x;)w>d-F=h53U{jRo(T z43NB4nMg8RCoR=~Uj+|GWi|RxV#8;i^xS>h_oyN1m6RYuCSvOD9dY)Xxx$(f^;*N2 zZg;0W4v}Ttxl&s%pCE`gfHj=4UTQOrQcL!WQM~%v*=82(kL}Nzo)#lxxkisAoZOpm zZhYh=uV+_a$JNBy;fVHCt+<&?i9(jZ0T}PLItz6Z8f+`p}5ka?p}HK$|>WD?$B^O zVBgHT>Fh5z)=BPNZ>*A6GVG81b!N?OO|W7$Ein@8$**+nlb)^8rDmKhYgf4#fgGbp zA@+djeIpaskr}|MbkDv9MOuh6)DTK#UD*lROu8WHGfpt}>*&)u$H9qg*vg?_*Fl}p zeN8pUkvZ$4;LTH{*BGI^f}PnGr&wUL3T3HG+d7a)b?o?#DrnnJ-|zQLy1ss;s1=+a z-*`n!W4vS8z*1@MmRK)cRv5|nm;Sz+G+Zj|&e8F^C54XXzuqu1$8kGFATP)2R?OINN~k(}9KpYX7;ZH!*t$vh2gT2Yc2sKcK|svA}Z_nL3(C zC~`Z2amPVYgw!b|qUvlJmR#D#KCAKIgh*Dr}m=M1Km6+ z6KdDd;qv1hj;o)VKJON>VX7mb-M;qWZ@?)Fdd%s=(6ew#TWJkj@Z#eyG{8Qy*45=> zn^+yI3hmB8oZmF|4n+QO8og6jC9d%eQ2<#U3rGs#y_bG-3!BWGDEY_E74gX*ZOr!Q znl?G{%xlVi?1#sGsHp|ZKL=K-0UCX(b7rIQK&mafRk_Fo~eE8}hsx(@hFoeU8EQaa1G*>2UQC^@5wkpz; ze0%?i9r{v5uqfxQ{jNaMqXSiw8Y2HUh>StWG*)vGVYWR-?$YSq zC1ZN$i#hcp68&)42_iTMNtO_GKjWNK@oCh6rjYE}n_|@OkQky?V zjUgg^Yr#9`gd4iHUWzFPuUT9 zaf7aL1Qs#X`pGKjDfQOU>1~5Nw_K~Traij+*gtY3LRx)*-2ti4LRKF@%=G;I&)*-8 z(@d?KG5Ga4qae$Cb7JW=k*9g5n&R^Q&Y~={?n&0gZxU%8=)n5%p4vQa zAPeaA2Ak__h|3_agZ7tEM`=179D#I50X=z02Tr72<|LMLGE+gv$;y5YEy)o`wS`ag zA=Rw+kTm48lX`BoETKI_+G78xq?HY4Fea-^dEX2rK=V}DbtMLl(nDWEfMFt6F7dJ4 zfx}|CSmH|It;QO4fTc2h!$^uW<8No6wWtyri95R@9$UHgym;=ePV>R@=v4z!9aw8mGt#GN`Q=8G!BrnKOdR0$z$ z2?<5W&Q0$#UePx+YbPqZhd!Q?*-nlSIgsE8w2xf9wr{mCnKF5(6)2IqvR7JKY_Sx1 z*`JYK(({uMV_!oMC=2PTue2*lDri;9yD(xu;(t zYc-xwXmehCXw%wUt5vL`2a|$U!uZ`M7x!;4Zu&|h%P-c;rO5(Sw(?o8K3;4=t%o|J zuP;Z-271Tq#rLcPbJe8X3qL05q0D+HUA=wV1#$Jx`DZ3({kRCJH=db3d-b&LjMicj zZ;ogOnk7!W=fc#gU1cF@&`O)6XND4~ei)RUdr}Y7gqkoBt4RRH;Tn%BJi>E-l zlH18&-|&5@g>kS;2*2RWtjLjZo^Yz)@z*rLKu8R7ip!5RJsj)j+pc;;!2Kp|*Ufe$ zlG%rt+!hO=-8F7yJbNUa6Xz0N>y4v;0ktzHNmf;52E#xYZcaSoYin_*y~>zXPew7ODT z=@ZqQEW9_2pnD`@?k1HLx*p||!Aav%4pkv>fPw8Nb{2Rx#(SO*R|{{4@al;NvMb`~ z@uoG?Gg}wDhiU)Z%mp5Y%F97lqc(!09d}Fe-9ts$xU5XtISGAB7|?}FW=sld*J)-^ zYJfqje514x3R{kZSyAc=MDadYhQpI`%<>&O{i+GLC1EaAN|%Gs$KNs=%i!Y{@R zTwSR4_Qa>}UVuIGDJy=u7C&$p-EWb7_Z5gkLb^{9<7KL7T=GNo{)F0D$c3U`Kl|z4 zZf;-kRX}jBqzp-+vt^9>jrSW?aC{r9eO#4Ph-%N0J7HyVaojQT%eLu}&o-Zi-}(5w z4qo8MU#@vUrVM-VlH3;)RWI^`jhm z`_h*dwEEG3cy0$gjGxgu2! zn<~eixe?Kl@?UYA0KF)zHDIfp_6Vg3E?pDGE(eqoT4}G{?s~e|~vb>Mts z%{KYoBX5MgymY40m#gUh2OEZQ96@S=km(1#vU}K&nU7Q378DA4*J!0)t)+sfQP=Nn zlu~r6KzY$CPw{YB@C(##oQb_XT|MoFp3gm{s)Pkq>f8ia-S}z8`~_C&M=S7u#Hzht z=9O{ty_A?rDt_Uuqf7kwh7T`fs#03nuQi{Jz2>z&JBfKW_YLE*^lDF*!HKQNVNGxcBHOUHA;m!U-KbtqMD@C&w!gOfpN~E5AyT)*$*yTFC_e7 zjL&~gUW#$)vBIUFsx;0+n)pD;&kK30`G=4HYyU1H4n9?E+CSWBT>7QxZR!Wc-gX48 z$GfJ~;&-c!`(`R6uNYVQx^uU(g{Q&>ap(-S3NB%FYQpN#A9E=g+|Yv-?c|GlatLw< z=QXr4GkaTR4(&XzA0RB%?l94VGK&9NHLj61KR5IN58-= zmROGDB(iavIjXZTYNHRWs3|v+3B5RZc|mY7o>nYq=FuGp^YEUnUFWKRqB&HhgLPS-PEkIk6JmB7J1%& zvQafwm^5W=LhSpCh*@ZctduLfrqqOLq0WS`Q{$>amL!;ffk1sEVZ`1--?jv|+vQGy zPNdqdb6DmCArQ&p#1mdYdwzQ;WHLt+5~u|e#P9u`&GE?}Y+Ers^S^T#t1c*(2*`ds#TIBIH?Yxz8oJ-lvuAZvt!0gM`VPfj@udxg~?sQC^fH&OATk__u*FIq{;0ga8; zPpQ$f>Ztt5_n*7_t|M&?@q^>%)am4dSH>hv*8q+wQk?$rrD&V|C5YP-c)yfK8kJRqQ4)LO(=}CE*b|(l;}==sEYU^|X#FkX2fo-6QGE@+s9}+!JqjeM?P?glS{8nD`lqOc$aR1tvg) zhx}29fM3ghhv2v$Uwm*42Rr6BcHZ^CLauN5SYO$Z`fd@5amQqz2jxMo7#7&$~8<8dVYqqxj&M|>QL7_=*a~{LxIuz=Uqat@KQyw! zC2H43BSQCEW_|yd=u5Sg1vLkeY}Le1+?y`4z+o4N%FmogZIhnK1bgryj!ODQ-nIM) zz;mdB$5)tmH1fMQ+etO(-B14PTHJuI#W#T@iJW|R;oNP$Rr_|SR(QcD)~@c6;l4}Q zx?S46mxyrT*SwlvjscHnzp`j4d~kNu&#TgF?@lq*Q8@z0S;Nk2 zc!?q`3{mg)(G~A>Y#B{qpBhOUk}?uf*^nDG&~4lvV6L^^bJoV!{m4B8)SeKDE@=YcLwFw>YeW`ex@#D5^aB((3N@+6&a@ zv8QxMjeieD z#sWh0u9jY5^H$8PoXKh?Np8_N(i!xx8H1%5rlQ-noa0Y02O42163qlxIx==(gr$h! z!wMUz^Pu|4bA79=x_C1bk25&{P0cqDAIvi0d>@uNw>|f4q-QS+kvY26@_+d2j;C^q_5T|WRExKYh zDpe6Lg`6^j!Qu8fEtJlxF$Yi8ka~yae(XC;p#uY7!35VmpYCNPBilL%vRh`a3e&7^ z3+uvm18=b(Qhch$9Msr9X!I4=>~+Z_HlRq!6vQOvc#FS8#i5G=rPuAaVToq9ezx^E zo#JzJ4PisFYF4H8DkERy17qP^rE({h&x<(p^U9(%(+FwNfcwAL3HHE7q!y6}vsmwC zD^uhQ7IBU^vSRRHAWG6c8ag~~4YUHm2+Cm0EXFYqQNlo^jHi7Vi18gP)>X}Tlw4#Y zarqr93-Ph{EDR1Gdm(77S&Aedn_Dnr&)goOW?EGF>055*)_~rNYC`EKfU-;e`G4H{zsenrmSidJprk$($hNAnMlykVIW|G3 zf&;Zw?R3|;xLP%4s5nFd244S;|5#jX$Cb^GOYXbmz@&r_iNL9H4(sA+(dSC{6|A@l zngCISOR$XdA<(FE3AiZfwM%<@&X`2<36tOrQzWHs4_U5=FH>632yQj^0d_D6@=9n# zc+;cr%d~Q3$I~I(W`Ecg#Gmt*YIvd}9*3w0b|H5rXwisFO8|uvM@9SUpCry(*@D>R z9>0QTGVUnp0wWIopf6A3FR?r@+=nfaLW|ieB@(cchjY&l^m!eUlPc^_+GmM2@~KEv z!W9)|cECCE>%9$)gIdd{v4>B6vm+`f_a!a@Uc9!m*I6+96I$}Es}{!92R7^ssAU~L zadMuHpZ>|xro-?13YTh1&rv}@XA&?Ab1KbKH@i<2SSv4$^0R8FAP=>}2)6*Fg5$}H zFLe+V9pcD6%LiZ*CYx-1h$5T8+Xi9VecWz18DM9a0s-lmEw`U;Q{nWkEUq1 z_H5J{;enMpnfP&5IjJ|BPRcA1l^f^s!sf;58ds@FNohMv=d$v|hCZb3#RpSD)i@sl zV=znB)=H^?Ff2W_55^!Gp8Ylg0sdXTB0luaMFslzh$r+NuprHy%kiN@Jh;5{L#vaM8x#*aGz*Q+80+H&BcDUvc z*}(7;?q|cs0H}mMbYHb8iaU`6)r$4@YZO%JFMV0oj^?_b$T4dXG?eN=jF5e{qk9Tn z>q#R(VS@`ht@e(h%R)FCo`CG@oC;^3!e6S*LEt|I*%yYnJF8(%qi0){=G+)$e|t9G zv%{O;W=Pvz=@f-!7?Su@&M!~TPW1f`kJ7ga1JoM--eSKIHZ@SMurD6(dB@W3-R5?s zs$ctgI3eBl(%S5PbEoyH6K4nQ^gF1z7gWsApSP9;4a{PVH|);s7tBXKHu5pkVFQDy z$2*7B&?D;Q?Dh_;pTssq{J=+=y4lr?)P1|Zv^#4JTwaB)e%<^ld)ACu!~~O?+N#)d zGa}2-#aH?d8Q9MbrYFfqz|!AJnP0^Zd^+9JDzs$pk?r(i4>>`6&1ch#WIGEAPTI6Y zMq^Fud#z_Wxz_YZZ($X>uZRO;Jvg|o(c{W>0%MV1l$>@|q1t!c*tXyEif-K)sms;L z=f8eixye5yRC@}0`hCyuvBc0;O=d1$Aba?AX#jKP?XUjmVaB08C{~$L#yoVqAd^pT zo2f}QHiI-{+{=_ihpW4!jjx4hr_ubNE+PIj;Qe@X(T{;YYY zAEN7ViuXzM@&flY<;k8+S{8UbTk))}%5*ko>aaCu4@kHgqa6g}(f6}OCeSX&nVvVs&A zf@XGz;2)6qMrTBABP^pObU7=86>SH40RaG(E_KF2q8Y`w84PmyPc%u)z3duiF0^kQL*Wsnia7or{?Ke41Eu6{f z>YlQ)taL>-S`?=#l_3&V2>A%PzfsgyL{SP8;~)8T-WS4T)o36zm7l-|Tf^@8<$c{! zk9oPJde~8GjriuVWxb^#Fi}HHt;`&{%Pon?1?oX%`9rXlb z8;eAfa->P14rOrD?mZ_MYME+zMz^!1x_9B4WZmx}U3@V+U*?9klnM64*FE5`LMm|X zQRUE~I0phNsZ^<7fo!{RPpi~e(Y=9>w1_}OD+#7I<~5J0cFy`f-myq(vx6--IAMV+ zL44IJFDYPHHR6^BH$$Z=Z(O>7H02y%t|%V;={pDdofEryl0(y+uLP8M$`U6q{IWKT zXFomPU8ntziA13ESKD^Q7x=5fIh*Iw*Fe+7;swTxUv(g^{$;fIuJxIYi{RLV(&Mbf zhmxsrq5mCaBVT$5l^Tid!<&seDS?fKqB+9u=%lEfNl`}Tt9Z@tE$p9H)Jf6kXpun| zuWv0w&*5ID*j-lRM~-kU4x#E?HE4;7Q1kXPdN&QaC^Ct-mpQUe06J$<8B>BI;D|oh zf~LntA$O1?82IZ47Jk}s^yeccMU5&a8$HeOz=ozmJay|O!NvQ&yOom7fmV?{l~G<` z<<=Z25Lipa1VLFp=gm?;m2#L+r-A_l(I2Z~qPGl{+9yp!edDW-Gub7TxaZ9tu~n&WK?WN7Z<;6|nC8QSU~wZbDr$9hO8A@McG7XD%FT z05_=vD|#ZD)tV~40!fX%m-FY#M)(bHlK($wHr3!t2@d_HOBQy*!^)c94pX-R#$&2s z&95iLjvtN79`5c@@v)Xar;cIC#Q{S=*8JTzR4+c%F!^MQRcm!zZRswsAwZ$)!L$|n zubf^I8(6C+p#IWMvO$pN*o9&zfZ8>xgg%U)G$-k6*&s-$|C!bsx7Cvi*+s)JeFI3% z^%BCpSVLsN6LEKaYefae|WQtQK_68A+K6@a)e%z|4+)>s<^aIs2yF2G!kOASHyHB5!H%8NR zK5P8(vtQ=)gASj(F<$p~oyDk6`@cDT`gN>6d4*^8J&Yl(%?o;9_57CE;c>&na;zMb zA*ODt0Brq(n})$R0mDPq^^SOKm{P^BZuci}yLhudl7!$l@(W0p&Xeyz^YhHMDH^MV zRlPSDd+P>%?56WKm-Y2+f+$A!I*6MmxEk^A-Wkkq8p}%A801*Pe`$4LmqzUHFE_TP zvWl&7<4*Aor#POdxCmJ-O`C=nP6KeD$e^E0$wiKX1)6 zA+mp{p(I0?`5!@*gtD#5Q!}5~6hH9e?%om^WAHjv35rKnEbW|RcTpADH=wq|&PrQ~ z@FWl5E^GU3Zr|Vx9K67e4PuRI#I(!u9+1EeevxW>LD5De;#dV=Q-l-C<3m5qY>sWE z`T5xXVRUv}`}4vv+55(7eREu?at`6z_cXZOg5}|XiAwNqYhK?k19n%iM}~hTw5sEg z(>MQm;8x5DLQ9j23)m`vAS(!RC&RGZQD5mBIQ$zjGb>ZR=h1OGtHX*at8q5ZTHzPC zkViC(Cnn87gd2*fLUbhK9f)!bnZ?;cFb0;VpB2(I_njQ*#FK1atA~M8oUm$@!H3Lw#GsjPegn1ggxkkvC#GljAqAdnu3x%xc|#ZMW!YrQ&EQL0cX@e zMG`<|Y0Ecy?MB@R-YgVS6lyqdB{PGLt89+)5@s0mqu5;84eeBl#HAzXBNRr!4ttSt z+zRDON~~2yAp#J+fHN3Y?Q%ELkr6j;8i_F^(a4kkMg$0CX*G%RC-IR1#NHkL>UjO1 zE}1`Vx%AEV(FE8t(SMOv7Wteqw;Rg?V4WoibWDIEFr<~x%1O)5-3~vU_4Ar9B59@u zdD)gYG<6<+;*o)tF?cEg0}~Y=x(b_0`h!~*WYI|m&X_36g93W-12^RtHn2SPeHt0s`5fW#iS~U0Zm$dy}_}#ytrYrwB%!QPX9Y^EdMvgpZR(r8`nPMwZvck zkIpcD=?~J&5dYV~qB`5yQ`oJO;TL6XCGqhzoFJ9vS(o#6SM65(Cbi=TjvB|h;b44N zB%TD6u(&(m+{>N)g?Xl~w$0+oH*w{L=00{~8U{>4GjuvBnX6NY4Q3{ZuO&Il;9$F; zu*pwS-GB?gM^Xd=16sFYoG( ztNXh%arzJa9$FlS^DRQF)-r^#{7R!*3bdFoO21)pNUpL z-&)bX6uuah0P_YT5Hb~SUy#-=EDV4M0;o3|UjGJLc+#yBomBIU&45e1&iMG9%{g>= z;yttenv05mfT;oEfrmVpOt`s0Qi2tb5&dZA70TCcuC=u*7cZp{>BBp=~Ttei}(EZR8CIPDjlg~=dSP;lcf$# z6Bjr6UfKASd%Rzq3#VyE%vSdQ)Oi1khxQm>Bi%1TJbGKTYJ#iInlXRfGdJEZiXFw( z-ptsMN!I^J2hL5_=%hME!XPm$wVi-=Y=F}obYiKJ$O6K0t*$eW1TsYHxTKy62%Nb) zH!jtQ`N*#6J*$>IS{DhFnsN~fpNDp@T^zSv=`}Qt6_VEL5G{_;aPl9oYe+GvIC3jQ z|Kyc_?2elW(Ki*f@rns&VkNMldRux+OQ#_dflh0@%OUy-qP+yH8Y<^@zi5;dRFJ}gtEfF2wif!}{G!Ddn_3b_#|L4?-&iIbY0+dJMT+_gc z_*;(`=1rgcZefMvF7J>vMN9mLKM%LZQR#PDr@tKgAN7+d_vTM^W#aGN7cEC96*AMc z#MPPRttq3%;_Sb!Sa$)K`ef?nuOh8D#48BU$6R+1%A>UW)PIe8PbvU*L4Xk_fB>2H zY}O)Sf=%Tw&i=&`RzWCD^iL*1jS)Y2cL_6j%e&=o4n(zQU=35In^5yjj4dsGK@y-I zO}=zKangAXS9X?!n`)W1|5*4BbgZB3TNsag^W25$G~7xM|7PdHJ{YcxsB%l;CS78J zCY+V!Lni1BKAB*2k|^0S6Y|b@2L#K&xDVlLaKi)E$q*v7YT0@kA7?3>iM3q z1C|Iyi3gS_gB^dqxikKUk9pl^5mEeHFOwVqf;$|3J9EiQsGS6nr?17{QvY=Ag-nUk z{Y58-WF8)UUp%mLeq;R4g+-m<#y0kmzu>;~{a#Su%VnWi>PUgXB2n4!oPgbxJihL^ z1)UshWcP?TrupdAdXT;MI$^#g*q5Eb#Pn z`K8R&Zjys#3(mka&);8gDZM#2(B5_UoBv9)M7dxYvw|cb5JDuR7PRXo%sz4MCky($ zv3O7Oz(9O@{(^MIN&x4uDxUWjE5i7)d@d93zNIZ2-}>1V3*$dpyJm^#@qPbS%NrU= zPEyhy)?Zi7Z#FuqQi>d3w<5KkE{`GSU2ma3{KJyg>C2v5xu1I?`&%xg7-KI5-N_Qx zmfg+D>_ey%=Ztnvz$yw@^zf%L{+5<KMlD^DAz}x86FITG)57YrZhAp6z^T z5Br-=TS+)M*6!}ws^VR3Ef-B+`_69kj-gBE%PGko2JGG5-Coq_^h0)8Tao({&!gA* zcV9iTlC`5&_w2hP>80d2!l^m5-xM8dy$WY;y-`|p(z|cNeH(@iRdnCcK`keo4{ptu zrj)mICL6Cid!VniCVf)+X3$iO(js?VO9wx?zO#|;z@@XpcpEi=-RUN)%$C{C+v{C~ z%BB`}jDAMQ@mbW;mS-o)JuqK9SB(?tO?W0{=5Rm{t!3C2UPqLpAmV`rf-*dg9OA+L?~cM^`tbureZtTH!?6 ziGnVp045l;Z+JO~Vw%c_a30nbnlB)l**YtxX3ms$WW1IURa+)#EuMf6iIa#RNMLS9 z*6JJ`?X5fgM0UdZ<7*qcAHOST&re^wp~p|QC>WYyJX3ymAr3#**%Hs(*R^Dq@>8lN z7d^5m>yqR}b%d&=CIWDas# zoHTxUd9y)K3gX#I`}*URBj>k^k+7}PGi$o9bmRTnc-g*UdUP<1KW z!N7fKNig}NF}#KD-SS(9N%u_F$?A3?c<~*-C~&D8R$qO#GmkG=G$4FqW?p1$Jq`=? zxO~Qf1@S#sF6?5kH8HXZ;uoSPnO(YUNtAOTErpXB(I!5AM<*H)>xa3+pz^y5m&a4- zmaDTGOf7w+UTc~Q=r%7X>^7g28ddq6YCp)6;UvMV@_Z_je;61mk!G?O6qB04V+LzZ zLlQQ+13UQ0+7gyhTd z7J2uI76vvmt}8+9@zX80T5I6rN<)!`=-IJxvPPsNmw=)N9^5)hl&aw7`69k9Vt|(R zsf`SJl5|G-hTxJoEh#w2Q+{wWyuI+o{?u84U$DeL?G5)5zP!u<1|i++xWb)5m{-I2 zrz+|e-$$$L$2sXT4e`l6*$d;+O{>@3WjV!B?Q5yaiZF1!Jl46(IaBwa84aeVe&w$~ zRQRI3Ig#Pz|J%YsCDn~Is;EtcvVzmB;Emt=f_KK$VrcQFhSpTG(6i5euv!HpWA)AHG1fTQza- zZU{_g)beUaqgHdk8&p0-MEH2u*_IZX8M|dNk1y(Fb76S8%GQDys2Mh`hor$qzFoOe z1(kyfQ9Dj|KaHrxc^ya)nvW_it#C)? z*ASCRU;&9V!5xTIwsHrinE6i0GE`X?ho)A0u>RmpEuSOuVTekeolr_AA>deO{kwsie4CyEdK)6n>@vgLA9{{_ydj_koce(~ZQyfU0^_1hK3D-A24L7}q} zT1xF8a_)w&u!zH@duc2`DUkRZwLTQuJ2gau+V4~c z8)VijuC^jGl%9=|$12e^xe4OIsoE8iU>%IIo%^@OhhF!4H?#2pp`Vm)FUllyL6Fml zY$Yjq5IzE-H)EU{*@r3#g?Q`+u?sv$>9NbbGZl;>ecYN_OciQLMaQ4GYVi^_8W+e~ zG<}i5EhQezi?xkBJH)v7z!E2S#)TMRpVURH50uruT!p6S_jH~ijx5ekxle$(vG2KWQ#KaJ?mcT$x6S1 zErqV(KyRstXWE0FW(ZEu5Jmsvjr{P z#+AnfRweD8_4CB0 zAR&1mhYKb-fHO9DY*Y2`*xic;p~)hiL%3K_LyiaVt0FG#YPWg(pt5SXEO|sltd|e? z{R19NLE2$--aDYaG>-`^{z~N;Pm}T@U?@T(R&u-Ff^3goGsP&3Bs29U13)m%A7I_@ z?a@$KUM8b)LMc;-PyIu>2n&7TUCS2qOwTS~`f-}$_4bB%gikoloX^c3IXH zLWs3Tj{;gIC~JNH#*)x>>fA8{f!4mR;Dnh+Lm_~S{0VU$&I>a@7j(>koE&PV>IlnJ z%rTae8y9wqJZVh`CsH$k$tgCGtY2n?2q_Puj{m|7kUSJ}uRC0JR@zr2lqn*?m#m0F z`2f#hk?;Yy87wNJP`J~8pk~JX|HB8I3@^Mo!*aRAVQg4{m8mGB;-CF5lIe578_UI6znOD}9xR(chiRwiB|F9yO5G)TnEs>9owaclo$+K%Nk0is4mNMYQ2cRZ zkCe3{kyOnZvwT0)f6tlAyUz|MQABG!UNJ4ZZMpcjmiH-(Sc-cQ3xN;ukN5m;zBktA7lILH*hVTkLuIz%h4Qr{J@T({DG7ng91(?i%#u#wr zGa^Ua>_sf|hYK|os0VK|a{-i=?($5Rd`l&TVj4VS-6Up&hk-DaxxN%Oyk- z=#kVdl)$q|1lWu#U;%1Z6?@J$4B^^4AqZ$3F1(cdxe)4deKD1t|dXp6;C*{!6$46G&_Up1;ySI zGb_H~$X>L4?AUB=L=vlmZ?L4Y)ooKyWwQ%?OI>~Sq(rELky?NgcmqUwQh*aU;Z@+N zcW?#YcjjLwWP+0w&d8`N{Cz0mk(8ek(Rv*?8TR>9VMO=1#Eo`6N&I$lVH71&UXGO2 zkcPjL@>+g_E5#`lF=;!Xwq>@QWT7bE5i+s($S3^b{7C9jz|g%V1K%zCS9^_`4~V~! zgt8*kb3h99H;0Y%IHTg(-z&&LmF$@|tcf?f=PtVhnIwXwTm;*#{l9G3nYtda3VIZj}brsQGR zGIf!I(2w_&R`$&cARZ31%0*lN(YKUuhb?LvfPwS|THc_faqcUf^W#^3?Q@{_C-XwD z8hfu@xCpUrx+yRTH84>;^}<3egPKb_=41go1ou$b038mJl&}JB@W&(XYRsJ9?v>Ro z>hZ~^b17>rwUWvy$Uy+ggCYvZ=cNTbAE#jw0_D%%_gdCi%4BSCCoECI21E!hWJ-yu zRILoR;V~&Th$A8AA~kquloW-avzhKqNv)B3P}G_i@{nqJ1JM85Qs~<(`86t|$w{VJ z2_;~NDNlS|!`=>WZ~2-`Dho^dP?mW0WlK7y&97H-I%4GGXP4r(mWE}iDBy(I4qrEt zH2)#{hevNIVkMfX9K@uZRLc-b+vtO0vQS(4GEN?xfZwF72E-|_hy`%xL`Ybsn58Hy zjcLmhh(AOu@WgO`Hx{Q9frS-NKAjGxi2>zdB};?olu`J?4k+e4?UTV2qID2PMZiyu7?BGE${5hpkxF4B{qCsO?Uu)+7bZ_kkW7CEsmF|L)-L1S2BvmlGJ0 zjUBT=;reeaZ}Ed*^X3;>9|g7LT5Z#G9Z#E$!WEcq>+q|oMmr=2l)W&$?ay8*nTn^( z7jN_8?8BKJcQ(DLgMMDvKQH4IMFiWm-l*-0h2Z*-GK`qx{90A4sck9V!gr5KQ7ilj z_)g+~j?`;mOEesIAQ7MvHC(|&ZLU^Oa;g6J8xx^_(|GVa5&`Lcjw?_eGElW&$JhPS zuFccO2A6hl1mi!Of8-Bhh`i!q`mzUg5ybveZs&tjiP*9p?Pzo?&sC4y5y{Kb*WD(X zLo=VeF&Kv$U2AoyWe(37M8rd!_V&D%)32X?Y@AMa-`CIeNn_;dt$*31{7m^TvZHP? zpI4KP_BsA)mHi7`X6up#+Ou=hDvgJh$+UH1B zuBEYzot2i6HK>pYC}oB$JOR_es7HPdh9iq51{<&s zx~WD8PeIVphWa9tqU>bM1dB6W{}ALP;w2FqBfl9A4=W%{1!Y(@0uK^e7gEXF7Quo zRP=ena_(oq>74BT9H}8{=kEA=O?Hs=4w{14(TwcT>YCrIe5xfJhYe^Gkx7nv05C09 z{<7R~UAA^>hR))*%Z(fE@(~%7VS|Pr;TS9Hp5m%nW~mxCjPj>aHt$q-M08Kbpfprx z0!E5Avo-d?$30f8eaZ`h_K*&7CcDYAzq2-oKl_)_LQ%iIU@~d`%4D+MK_KpsvBQbM z>)pdi?%p7}xkIiKaw~gSM?GkfT+Lv`@KE<2&V6xJT)k{rV^CwVj`#d{RjFHB3?(^S zL%+(4MW(~!x4?I&toqNS594asVH8g!Cka@aR8CTd&J8a}C=e<;T^_vf;W}erFIX## zL4Z=gw}P&;f0Tc+Q0s~3E$wZ%a6J`;5vzyas+lvYWaFvEv4ks7Ii-!T+!PG56%4m; z?^J`6&p-BZ-KjfybeE>@&J3ticpSUziZj&anpguM*^VQbARIZ#U_{@_a1l z7kmwym@j$7zaDF-A0K_=!eoTzJNqy68XR}PsQ?KLE70gDOMLRjL&1pf2odJGoyP(s zTu2K(S2fBi)#Z6!Dp2jEVlbu0owQ(Ez>>)&k_kd3(gKZ1Btnnb2`Op|`#fj%i$PpT zfL3=b4DM8yFbV91!6fK{7bwr&(8QA*sC|ldQexEm2C`FR23j`4HXAF6Ww6qUZ$S-9 zMh0}S1jSL~4Z;d6mWH_MS6BZcYqLQ?sXHv>9^>DE z+Ra*SiA*@vRAAN2pS*vF>}ZhFkD~xkwBf%UJQoxUE6R4`GsS=34Tx-Lm8qpb4Zg_t ziq*TNFuiNhZ<}4g5W!=1*y%TmPB~xtn74u>NW2LICKB1egm5oCn|;esyvN{c!~2e& zcq0tKqVeOIE*F6TRLa~qcEyJlwEP$8fG`63-Tedcs&qdZp)NF5jdj1% z7}`lW*kJKY-iGuHF23Lwb2?#o|$3;)ZGFI!rQdsx` zgI)Yr)wos>HnfH9>*0pbdJv1UW7~uAx*xaoFRwupt&N(5HSl3^ov?p+V$ubT?|yf2 z)24!&osfPLaPGhr%=s~IG*NN~eyEN+4FJZ%(j6W%YmtqC2`_+k0@|ld10|c}s(eGD2%I#(08|gWl}@Eqy@tx-9B3yqW}{p} z-z}s`!U|asFutSP6osIIf}Tqr93tl`R=*3rweh<5HkHJ{>dNVv8yb#z0j)B{j!`bI zt}cXeVS0W}Oe?jXLw^>C+QTvTa?-lw!@PWveqm!Y0Sa(F$OfI48g|!IQb_Frfk%fJ zu#4B+*cEzF{KTW)s#OLvrbP0n8%f8Qz}LLkYEpC1`#M-`hUVVAuy0c`w<`VR!~GPuwdVtJi!J191$dILBoMtx(d7D=&4l}SE|{u z3$ol7iE-wFd{8o<*z)RIPqUXm5KHMq+db$kM7l&lD&vN|UvG*Q7}nc8D*pNhHen7( zH9^0Jwo6m_L)h&WeFA5Cux(t0b}@MkYtd?s*gNbi=ExdlD_p}V(szTIm`cN4-;47k z?*K<`dou%PQ&|B`#D)cog9T@mciu2neV{}a#TQgz64)VrqK^Iq>xC)m$T03cV%2;6_v>J2?+^XSJ;1>L$VrUpu<3W|$Y>A`_y4v+)Nhzyj6^T3RXqLW= zn2_X8YGFH_Ou?Iw;bv1wlSS*UhM=C@i}IaF6lD2kK-2yRZ^ zkif`rFL;CQY3eeC-{Kec#MFy?fd$U(iSkgGh#`pdah$ z^T+*U(H}^mJ^X#k1Ymh_mFxPhxW;39{Z(gN_{ar*Fd(<&OkaDWet^xTUuECd1`jWhtAyI^?dDYR<^`By_DA?0t%A(%@BZeG zv*08As<8;*g>`nIy*&8POIeo`2oU7GN3xGcmgN(@6m^OaiF#gmHaxj|jT@OcwC2}j zSyNEK7&X_4vH~iPMGKaV{IQKU42c$ABdYK%|K$G=Q2<8&3;w{Skw89%ts4kN{GB`j zWJx5_IfL(U*W0cHai6~-+6aZ$;)Yez<_j$Ye*Erp);7f7xNX&n-BuF~0Au%5IcKm? z=3;54VG1F@yCFk5zOuXf>$8=aY?7w3T-Ge}ldX8-k2vwjs|`IUU^RLp@w$y@*;YT* zo^F;Z6$t$-cGpvjM1d-dZx~`K5>=|+q>zDefk4P}HJ5yOZgNvQ(JbbCPbr@Ht2N)B zj>ftfMKAYXyrbC;)?-B~KJvoy!tN1f2I96vnM*&cu9j~4cJ$C(_HboKY=-h%r;m;G zKZ%m`kB6G#sn$|X6o)S45Q%l!5<{U~n!Ue6K4D(xk%D^U#{Hg6^2n(pt1J`L92j*l zbE&8Ky!%5-K*@jZ6Y&#qE7lKhPBo>QA`COh#!n}6IVQ$oWk{7R8v!l)orklx{LW_W z56eX!4xUL_l1YHlIb#~0`?MGsSJ*x)nV;eislmPRSgq}CvZ3+*y8RS=aB!a(50~B7 zhS3S4b0mc^C4EsKu(RK$B%i0=o=)jZpdZ{MTa5yKxcf(-;4|IKLB%dm6TZ58^K;}E zsHbj>%U{bB<#c*;pzpb{&Dnw1tljNAju^2}6=j$N5Xk`2pOKFLWq9W1FpOSu>M4#} z1)n-f*et+yhL+HPT_+>K3nv#6Kb*7^ugbP||9?Fxy(%7QOK(H&<3#$XzHbe>cWYJl zDxWPni{GW6zy5I7`jUxYG(G7Q_IlZfRx_Ttlj#mJ!_oY&4)0F$uBzcoL|^gz^KRiQ zs(yPwbn3@nTM^~m4I%ELuV(Ak@4mABnemY|J$(zc8re3_Hxr(p{TWjj3!sn#QV8I= z3H=gG0cNok)7chz6%`%$sHGt(7Jrlcb#iRhan1-!svWP~u5Up`@#zM<3Y{~nhvHLF zp-V*6PsF8@@A*zV`}N^ZpfG>x*Z!*AqAccg!82|+sutDFme4$%!}NMDAV4{Udxs7vtRD-ZVRkykZPV4YvsAE zat~n7Vy$(Qe)3@zC`%({lr^+y?Z!@K(t9$C;L~my*L?6o3yQg4)taTj;eyGsVp$WK?;Y_EVKAamE!;Q`>zRwHY6or;t&rs3jKh zT)xp8+fU@uO=@??pe2E0j*Mznpmo`~RyC?8$yLt%;Lc}U8E)9PNoGsPr}46a69if? z5Fl4OM?P_be6m3xnhh1@YyE$d&yS=bnF-PCiC2ENH$2}cEeSb69;DCek+epjE|~+` zq2Wa*p`!nruXh2AtGEvS=ia@$x{@Vp^|IynXuXnFl4VN<%*zfVSq9k!du5wI9;ItY z2uTQ-h?nVB@C=k?!#yknhY>ot7a2-pn$)n-b zP^Fk!u?{Edh}}!|EO^%X>_xXTXp4WuUG7wr+H$`3too?&+gnU7tdd75YMG_tbpb}? zfw1|JSmyeAqnGCkiOhv5j)Zb!hap}YzR;c-H5EY~u-dOvszXYelGKNQ5eyQ%l`Rf= zf}t?BK@||Bz|rg6vq#C)@$8D#jBWMx2X*4Lc;y~Zdb7MOC`e4Oj zGqbKXZY|+pnvNbecdWovu7DnsStPCyhhL%{)A=JekZRsfKl8d^XNS#u2E9k6x)Pd$ zm5HDvPT#20k`DL^Xu&N~TG&5T+3h1Gb^p&SZG!bfR65udDSo}swndqw$Z-(@2pHez zm&wp8NaZ}wDBcn0d~O?SB9p5}p> z@?$Gl9L>Jz?CV@*E4V40hHGFJq?#-CbxO`5989 zYF8V`@{#~u|571WN`3BshYTx`+FVfxVEV#NXD?VJ7J5c41khW`ZieX;0yrha^G1mU zYXD!$EOB!`|Ltb%T`fOPtBK-MCsK%Yv4^}^n5G5bU?Uz!<#n8S(odwYbHkV&phXAa zxsA@8=t3^>Ok5NNhQ03C3hYXHgJPZ_7C#&%1kq|w5c5gd5lMh?@cRx}L;o3gOvD04 z2x13c!XO%$-%Go!C|}#KZs9N%m<`|B-+zrv)v!V#ExwykUTRmssFC{)2vzRxwTuS| zS(MadT1s0GW6z}Wvy>1n2Gh&? z9yn)k#yr;he^~HsOb^wX1mj<&M6O*JBjtw*IVn6(7qhvj{hYbghiqMId8#`e$#c$F z!-b(Dw&;Ef4VxMfqY3RFSp8pzNHH6|=Pq@p$~^^LY#mhx&t9EHPj78^Ll`A|s!}C; zc0vV;oNMBY2A@ykF8+1hmd%z1iB4uy5NP#8a94b~3M4b+BLYnbv*y@Q z*i!fz*6|FAaB~MQ#5NMfaiw}sCKPf1J(v9f$398^0a?lJ+A~a>x#L8str~Cr5Oc#D zf3`3FhN^t+rkYzutU85icho_EP?cv>jkkcN=8X}2h*BSTX@GuL`OznCJjM{UU zO*(Hpr%(>9GBM$f8r0q`RExO8mr~YD%6sG5PaNedky^lg*Ts zo&1QWk`@11EqOmRi4_0Oi|>nN~GL#F5r2nWVFTRWMEGKp%QZhhAfmEMKmRG@=cJ|C?B;J-H)GIy2_Ql(v&~n zngo?Fkb<=QlGIdFLV9peQF2nJEc6Q$hy~F8G-P+h75&SdJ}0weX8P;(|L#ZQy2Ee$ z=gzSRH4ZEmF(*p!x>I|pw;Gu?rGso4456}f0j#S;7O1-gfUuO_Bfl zjaaBjLs4g?i42689Upt=Tl!mL&O}gQPSH=2hWJ>j5mC`HIsUlOJ@n4LWs7X(aklPJ z#4K@SfB%A|Zu)^BYG&PlQBAXLp9QBlL{U!81O3vg%;fuA<4xG$$h>q3t6mUcxJeCy zRfyHUvwT4(k6-+77>%HF!QMlJeln_iv*G2WzDw!HLId%H$0#h8 zzVE@W?z!1J*B5fsG^be@Hs~au=rmjA6-oPM#Ylmu^3c+D_ew{&{M8BRXU^ zGd;2LZ=bns=u8uML(8K4#w4)4p{BO1nFnw9WTC;`Fy7qV7iC|1=1~J%h^}PD*VN{x zy&hkQ2#YvKEqNGlr(Rs!b6(cmFZ^YNV=^9|9iTcZ$!D_5*w8+}o5`xtuatJxz-)xFYq7{s=qXoCAgp8`F<4(K^&1|xic}7GFvgmBI(yVez*JQg7eu2G=hoQkhkEEs{ zH7OyQTFE~xg=DPP?eIelqsWgpoXhe+1%ZULy;o@_J{1n06E)!c8p*7dn6`SVA(}Ni zUGetT^{#kpedoP5pSyl0`Ep|(s3(w&ZosH9U?m3$}5`fK^=L?}v3-nUH<2NLPjBiXGM(|_s z%N_QFu~#IPFuKBc<}sylX=)u)p`vt(Y)s1#Abb#UuT3m?#2dXrj$s~9C{B_9kQI9> z0>(}$qPL_b4rQ#)x$8gB)LS1}UImOAz$|!gEhAU zOSjHkcfq>9@TVUP?p!TrelCPotovN+Z424bEu1(+_OAQ`Vw2JjMvLjqzu{E~Bd17D zC1DLd<~~>>R@@4%)X)`YBH=fG!Cv@6YqoI3dm8lVM0l7zaJXr<#SQ$jf8BXyda9hn z*hJ$Mg77LfOmg}@?Fo;eOQUj5$oo~{tplR>$LTIJG0%jsHRxBFfJTc*MaycX|I(_dDNiUVW}4{tlG=rt0K8A1P5> zJVfT`5f&=tIa1S}sboBz&oIR3{PZup?UL8nr%a@-wX#!K{VJsc_tfUPuHDSJ-#U;Z z-cY{}0*P#TM9r&OT^m#yUW%t9jmpDCq+yl)uxBpoQ}Ku@F1zXjO?yG*@_h$&(x9Rs zA5Xws-r+|QmW*-Hpr~yY?4yY)fxmCzLIlT6EGU4!990FUyCTz#%}qi+w%@p&6{I47)0~7w`2J@1)PIh?@T$<&t$ssi z4McLmg6iRq@M@b8hxfMxsndBJC&7}QE z+v~*yQALthscCpj*^toHl-J^e4qP#{W?5-~&DH-^+tsGy?6=?iD6VQ`u$xcTIU%eLac8KFvJU|@X@rDZq zXG$+N^;9*V^!r;@x$M97?r`OEE~;_Uzplx+tL&;Kr~e|1Gt(&FG+H;_v$}iCGVa4? z>R}bkmp3ziC0XG!M}%&aEF(9+)ELdyc(Pg2Mybi-%i-z@d+3EHK_9du4WDT|ov~v0 zx@$3!G|>|otC|Vvp1Lko=)fi)?XBI?z;rchSu09h_>&(BT$klr+-+9`xwAt{RaZ!J z$Wq??3?3+Jm=_B^{FEj#k;~9ni_mCvih$tEcTmPW*DVldulP%8Lr_ zH-R(~wB%2m<5%-)YVz`qi>#-v50&Nbb_isoB8mbzK83DHOx)G5r-cLn3>gyk^K7G4 z2t7qBhyX@tD?=TiB}7)||_Jg;eZ! zQZLI==huYy+~GegAaC|KRmGXaOo2(F43`MpNuK7WC3=0>7YIr&?2Rm z`9ax8mOhxHZiyZW>jl1wWi5+?G+7DKoOb(v){ZTywCKyRC3ry%};>l22QeXl>fs6KtdEP&u|46JVKdz;iSqOXc$e=^c z`{>M{{Iau-eqB9QCU$f8r#W2VJ(*p*>2lr_tpxNCR^#8sGFaS(cTs_c{S7c>z|a~>ZOstCo6GRQ}+?;z#cp+$a?Q(`TP8MAF7+`aX+ zuaX$do?P&QIO|A+>>+q!c#&*u z9}xER?Mn-;xDgwyAOC!&-(kHfTFHFZ_#jem9{fB-o{T$Ldg~eIAVFiHlTH#sam~JJ zPw@3zpONuRyatIFmyc{@BPvsV!9DdO{omNpcSni35h{thLv2~!yq^Z7zW%E}Skr;5 z#wIe%bPlc_v= zAfHo_g%x!e5oO*S)tZDCr2!ouUNyKVG`?L z28)$_w9oRI8yj!wQR>a#Jik59uw|9%u*I5GtV0`!Us=lh$VYxYU^-O(+GDphG0MrY zfkk9>oidcebTR}>_16m{T39-#!V2B(EiIgjqGj|1&O_O6u4DPq!+-i<_c`TbKUbNd zVOfVAKvrK755S~4a_jQ;2Y50jFtC`Q8Y8->Pc_FH(@_X#lR|uT74udx+s%=+|1tWd z^D{;wA!EL+!PWDafOoRAKaYyIg_=a9wcrxanD$?)g(gafi@D(e5#=APUEfIcdLJqh zS2hzowWh;m(`WTE0XqIBP898E=-TY<{(UStegXNL93uNnjFF>%8C`YvOy23v)S#Ph z7+B-p_^|=bkI!rm1w}0}$^{&fa#vHvJD3sq&6wuCd~n!S-sU!}Ub;oBzLn0qqShT-(zW8=#{AHA~T{M}XH z(SssRV`^tjnr|p59&aAl2BJiiRhTv_4lZZnDA}&2jVGDmVRCEJRZTM`PRC{Wd-cjc zcKg#iAKc6|0%8x#fgh^erL;z$L3$)L?tcvzd(h)XKiv&?xs*ck@>Fo#?EZdsp?XDH~(j??K8(V#5EZ5`(?}9T%~^JA7*??C3omg-^c{kTrjUPPk@o6Ric^N z@1*KehJL^LKsyta63!Y8AgcpTb$>t8=w!864eVgcWZ`oMJJg8U-qTrcvcavTTlUF9 zTenP=YGOE4rBl!Ea~)x-yCtx<{Jic~6E9RE^J6#G*WKn$UYF`Wx1c7syf_e#D}Buo zrqKz#;wCsz0D|2lzsiB;$kLuLLq5Ulrpludd5^1nszY%wQ zq`qr6jqL{qFM?cbQ&-=2GrRG$MF6Qy91gS7Oa^O#31VdQ%wiu^)bw(dM}PgxEhy2j zXZ>A=qz~-gaeKiitoxVpGHN$!HhV}*sa3i-`_*51sT1lJbw@8Ej9W9*x5PF68dHHx zHr6-hXjSz&_9kO6=8(y`<3Gx@E)n}4qR`LHekFtUkeT>k&D6|O+iFujBM&>zip*Wt ze7*boRqNO99arjwr>~L@C1sSr`!{e$=zHFegSt9py(1F<8=I*EKl43YN)JJFIT)Y* z;cClpRW4jAHaxOFeWZqMPB7Q~7<5qjEuyt^M>l&8(wL+n4oxtcmS!k$gWnIAIFv(VUnN6~$$`}IN*eJrbo|(##2s5vcrdn6~N2#u2 z0b71&``G{m0cif8U8HPl&d%<5r+4zs@-?>QKRk~X2=X38zPam>8bfImcC^_EWh!sF zci-B6Xn9(uzb$@iJu7#LiUt`En0@(u_EBTQFXPsIv7tX}$R8UO3>hnYF0Hn?8>YgR zr4~M*T(^QCIcazvG0gAHjK6Q?mlAskrK`{1#1Ui{4BtJ2@xn_}`Q7Ll1F@Hx@G$F_ zwXmA&w>cOHfn-8{EPv2Ofe)Lc^lT~e?w|9ns%*kf$`f?#$;Ny`QPiXb$~rXe*t#|A z+{r(T7DX1a=@uW{uoQwfG@M$0?`NB%CB`Nh1#PW7K+)LQ^|lR5k*LR5Pk*_;XNCi| z`VkQxVl(-wPp+!jrd85RxK#+GtATI#5zv~r4=;3;W}W!$olw`{Zs{dH$+6@x)zH^B zA4bN--#v0%Vt4ayyqIbl#FjxXW%i12r4(F&J?xxkZ6b~LX?GvH?HZ-!5H&vmJ2QLl z_Ag_w>CV?RI(x;=-*+4Ss;kCL9&PWIJwk6##^y~jlp<5iE6%|-6Wh{+WC|-gq2v!3 zrm|IVKdeg0BbnE-kszzbaVD|tURWJXp`kPy!+vbHJ}>7Av* zQNB>h@F!F`CqaY>DMahsv|X9r5RTw1!dpu!NtF2TILR9nj&Mvyc_!LnjM=Z3BZ0o| z)@*COT86Z%tJD0w1MjV!RBC(~BB8Bf7j(}=QNj-hMH&C2AFg4iu$9rg-Dl@E@KYO%=p*{3J+ijZPtoD$haS9Zc`nd%mZY}l&OR=~R8PW|9Rx6Rh6ru`SS)lX{u z_<`s5mE8^BN_Ao?D6T~a6JXh6pB1P4a;<{lGb*dGy(2JSxCB4CzwSg}-I33ra(pCQ z(Rp5AkTVYqczho2PEjTq@lBpp3Dl%yX!3S8v%;SjP`2+!Z%ozZo|%=V-yZSq|W&DMh zHjY+UYxd}gOp`30RyYIWf>1~3Y5bt+k5H$2X6)b;lev>HJ$}<|zNtu%c@Pw-dMT*9 zJmP{&*L2EZ5>ZR(;USw0IH8idDj&TcbW&cnSQ8+gcz3YY&jHWDMq7@Cz$lO6fW%e> zo~M#Wt&YuR7=ctrq0A;VnrZyIObw6R(D7b5?_jSeV!ee2o#IoS4rTdUJTEDK9k>>a&z#y$9FgDc$p z+=?1E5T;h4ygN{VCWb~fSW)r5?uE&-zMV-p%;rJ!Y}OioF0b~DRG2T!fPK2B)}8Us z(Ka_Qo@QJ9O{wj!G`94I(&(@8?3$b39(fjFcg)7e%~w^~zP!~sOF zoN!xMVve2gI{2&(C%Kxs{|=UWR(`Re-TmmMpc|!~EiW<+%&M#I477yz5GBOD`lf|Y z8WmxiXa%JZ+nNdl({8U4Ow0(E+?Rhf*yQ$CFa&}fj`s!qJ6U8SI0MjV5{n5(B=3tEZgG`)ApgYnlOitAMR;0w0^uy zB>^lq%Sd3vMA4BGX} zrG?dDp2iKlX=$e@qkObVQKzqLxQ1+5i%MA@sq| zFS}wk%dUiA#H#TV?_imKSb2FPVk%Sl=_9udsg&Ax%a;0}H8=r>2sOa&wVe67C~mV> zeYtekTivOFLZQ&1UTn`yu*O14d7C77Qc_LHC6Ne_CBH_}huvI;2{bT_Qgh?oYiSu7 z@2gA`y<9-wo~+-XN?}0 z{o;~KPNo^Lc<>Nf%cjbyDMNv1Ts&uK`3H-h!vR0b0Z2*{7H1 zn#AJg-btnB@90&{;R3BSX;YfpV#ZNYdgt>&E+5#v&|?%lGprd!EDdYJ7FM4&=-a06 z((&q`wexDsMjcOX!R{(zNJMP6M0J^=th%1v%|oZ+o@ErgWtPew#p*+rE{B> zx-0Hnk0I7*lQ)B=hDv1!Gk&Da`zCDERcx)67ugE>JmYd33BN1AflneN=lq8w5xdjI zf7~xI$y_?x$vL)kAqfV^xWpmA>WN`9e`1AdLq+M*y)+FKX}(tK`c#`dcnGyp-hi{Kh*&5h=4IbqPdQFckc zOnhin5mjaZG6@MKD*-!3WE13M@N8nX)f?hpU^$@ZR3(El-qNn`hkQQTP&aorIg`S_0OUM_07F?1vlHvCH1$Fs!V6X*M1Mya)n7plQs3ugNl4C_oFr0Ro{4-7~Bt zA{~H?ZrC*_sPpqvP@b#`r@7HOM!l{>pZv#l2~Jd*Drp%xp%1s zMH}IU3s(}7Mt}-=CAGz6zg(N%6cS1aTiQ$MO8s+_3*^=iSOTc(YNZnLNo0JI6ubXN z_O$$1>P9zkB1nfRX)1YVug^wf&@jU&;masBPW?kKp=xxriYgMN&?cSLM~NIK=x9?U zer>RpH4Z2lBdzC7CnVn}OGixM*TS23q~rw8LkFYd!t#96V)>y3vx8SKm~%g0Hko(;`3t2Y%tt^^72PjG@;T>Q=Ex) zetSX3R=i?Tt{7B4{qRJ9o`@1tYh(!%D%!`qwn>LRMtZ^|Yj|(E{$1{dq594aLtq9I zXUlhyEB12KG}TM`S43j=m&;7JgrPo|cv$d6(`zq!Jb1zxh<{a#y)7-ZV2ex%6sZ2=588vw!H0n!;Eiz?Q{Ds)Qzl zh+Ok=LCU|tPd|Ja>thF1>G%uOswt1IWeE80;xV7+$}6v2kQ-%Mf8hBhHEaedH{2E> zfi2(nes5)8tWQ^YR!GhKv+OTAAZ!si-MpVwlV#DC^Q=|VB^%`Q zR!=S|mDhk@@HRo9kKT`6II0<$W|NJSX}feL`1bk*atUX8y_owrc9`tmTR9flR5}63*6-DH` z2)hCFH4IQ4yy_qzn*tVknC8xkzhv0v*vEb7?^gFTkb$J!r%|MF-?=&86B)$U&OA`l z%6SJ1EzS}M;E;;p6v~lVBX7*&sARQ~gydCOrG~_z`YH)&xOa&kp3AzyzhApfXkvg zlVHV@PSO@fUQB|VfALGa!jK+W5b=Iuz-BxjI_i$>&a;LS8f7fiQDsA;BO>Ef+A2d& z%vHiBp`60ipcG!l>Hgi@gQ@Jv%T8XFfBO`31{7ycsG@>$Vw;Jg!q{9*b7$6~xHBht zZ`Q=c8uD}B)p_^P-&tAHMskdQlue$5kSwUUIgLF=^uN8!%2l)^*$#_Me3=8uXG`6+Y{6w(?Ezn--)jk2Z|z zkn}QTu!0 zo81`N?2}vSqh-PTf#*BW>i9^yKTd-Y!)}MzY9G`z#4mhramJ8{W#b!pj2umxqMW*#lxHT=x6U&!fCp zD>Ym>;5zPJ(!CD6e)ff}p(K@z!JutbY;b=KkV%US0Q`F zzO<(2vByM>Fx$16lumgH5sP)SsDKWr_dRY^_9qWZ%9Zx3>aEsjbR)<;vT|i-w$M@K zhSb^!a~(f)!M?TK%M8^gw#HPLBuBDfKn1zu&vdr9P0RWV=ffzfKo&xUz$FS~iD#}d z0kI2jvKq=JDKC}LILfN%X(4arTP#M-f8@M(xr@Vf4fp=rlA608U$KW6g-IQ#4@P|~ zmNHw!Qz=9f9GK8nFl*OQNz1#U3Eg3<#auP^RhC)-V6rI&7HW_ex+~CjuC*#R%m-`T zp?~0H=<);Gv506*=x+Z0k~WH|&h7gd2FFd6JO0N`YVN6YG1VdbR zt;jcTv|3^;sL+(GDltPA!rL1UNrF=AhW2Z@XGz-Ajii|qr3ZM7C2KKWMx3Ojo2hN( zx5p-*#hZwj<&8%X=StUt+FEf4Z2hZT>D%1Nm-ei5C!g8*QM6#dQf2sc5fZaoNZNV1 zDw?DORr?OI&zfvJLY%GfEea=zEGK@omWwLiqNq|&@`pvgT^2D5-Xv2kStBP|b&a@n z4FrL;q(ub8lP56Xrvr&QZ$si_Xnn|wtCJp22A9yLY^M}>InXkr1D1z%Zaf{e)}Ud| z2$6Tkqq+;+$-k{zH8XIEqvaBj{mFmc^VjTRBZP@cv9kLg+dndbVK30qacC>#UaE`i zVLDTZvbV;mmO58YuuJh~h6#aeR0xVR)reKh4hjmSq{W+e#gKgMm3>xk2sqGWb zt*Yd`$+MWotyUzNHPd*1MQWFxBBM$7nMY~t#0j&>t$C1gbA=1**R7LqKoTilcucaANG+^u3 zAnBcCNQId$`>aaEs-%vz#}GTf!Gxrb`l(Qokyha|BdgQ{ZqZpC%VkwW_ZF2eZXa6a zV5U0GV0n;1IRWNVQY@JK%Qx$^lo6#Rg%C~O9zNijUT$bhM%7}qm}q5QmtD5n>8~wa z=T%JeyCdc~HJKCvH8D^fT;~tO%u%v7O6S zr4bEbqIci^x(i>s*Y5h@?w{`8E%MSz-yLy9XVr64&rOX^>0dfMteC)vUEqGIvJyr@&$tXd@)bPV!!6H#l>%1AulW(-* zyF*0`l?#4R?}mQ5rZx5hN4c=^@YpAQ$@x5OUvqPhZ2zL9pWgK_g1!RL2w)D-&foX` z_7Lv0I5KN6S#K|Ey)`T{xashNmpzMAGfT|CF79)!&xSNn z_rBp>tECohZ1gstF-vXDcaPtHrct5#H7Y1uuOhQy`|z#WP_`N_aN|y5k-pC_T9?@8ewBpXw>z>n znO%4J1XO4h4qKz_B;l49aD;-HF^W(6lF$mwUAl((*F;F_Q)>$u{^+ZNyu3qN{yv!JuyvO)~itw-4u`XH0odG zR6v!SX}0>a?@*0P z|9xloN(DW)yfrgdCVS1>OHw{S3MKHde`#oEX?^UbWxc}bpZvYX=9$tL`$9iJzRs;1 z>Wg>y@}MxX>V63}A!jr&`}3V2DHd^NMdysD+v3v{ED03*(#D!AJ}8&!@?JUx2k5T5 ze$~ddVJU~xVB`rqj{6?&Z%LUj!r1OQS+_{nBdPNrHT8pQ`yvhH5}0Nk>d2EVFTYB) z<{!KKulJ<2eAK>`@IbdHi9>Soj~ds${mA4~O^kSYBUmH@u~yNUypVzW$(j+wf!=$( z#lXe~lGiHMBVsTrzfOmAUHmFlmb)~>$m5sT2F9sMyQU^IY8|~zRx9EbFd(OI4_)@< z1`dB;v@CYTzsJsdRw&j}Z}04z`Pe)LV1ypORm7!lanJA0E|GQRuHt+JNwU%si&7SP zs=us?8j*Do>I(bK@fU^+j^IUT4UqF!Y2x0z6S$6i5lQiszEP}cxS{Rqy8UL<5HmHu zeph|(dJBCd3^FXtWB3R}h%Zm#uB#16-jzN7XGn;97H214#5uwM!HenvefaqQUKPfz z?lT|iK{r9RaU6Sa!(L}vhF@^9p@V%D>#lZ-Hn%Qv2VRUf?1D_F@OA~-fM(PPE?ntb z?jsyjZdDdj-%3Z4TZA7_4|%9UT1<3Vjqr8yJhBf|Qf}y$_HHIFweIPkuCBj#G|u-4 z3GZM%*pu?IDhl;d)?oWvQcV)Zi^!PV;5kdW&JJ}ja!n)6Ug^h6_+lcx0EP!$eOYK~ z(q00>v&(+n|FDf*NZSp2Y^?S!yAzH#2!ygt(G0Vn`P@Z1uck0ysE#R(@Z`{F2XT>; z;+I!7k8W4T63V9IVB+j6It=W9dSP{-DT!ddjv?u{1J>`R!l)skZG=S#z+nByeXR(2 zn+7e2o1Qw49&JNK*&&faG#Na4_t;da8;K%f%85!#TM%4vO`g+0JbjZMQn{dVf!p^t zt*wy>hS;(B^5$1g6Sq|#%0_Q6HIM3e-TT`oXy2Qj zeKgyqCYTRpyt2Mh4VOLxp<84$iD1<*3a3=6wSI@9u>N(QbcofeF&vRWyM1oMUHDRZZmG4%iZk zXh-eFh>~Z;FArpeG($K{pfnK!v}FT!6Fdrk#>l)t$2gS=1b|qj{jTI#&XRJ)&vmEQ z3HwPcC44ZRAaCN6ez22^kw-28v74>3yxMhMm=@~BFsZdFZ>6F6*1J*rz~Uc>s_ov& zy*;NTUZ}@&Us=`V{_73wRx2O?OUiMAw-jgz??!c0Lhi#67jlu*f`67g z$R~h#KG%|bRMO3SWueb6(Q=N!1KwRcrw$*#wPz4zE%7Ha0YEap5Rp7mAPXE?kVIs> zd6VUc!3Dy!6kEhTOY7fNfY5HyGSTL|VbjKoXZBwlog3~(T(PMl-BTx?xK6ehKPM}` zy>YrOgayJaBDt&@eBwQR7(eYbvF2N6WLt>A4*(LVs0c;I9~TmrX9Wsr*|Jz8$SYKo7p#8e zRnF|AyR5RM?-AdWM@KMXK^4_=XynBQXOz7r^6OcXe3AX<@qS9V9zO|0MKL_NW8BK( z=|oYL6B!Qr(2JPq-d%YXHAj|)=gvT}S+}U@6OPDJMl@)hVnM(cXC+9^1$zf(++=_~< z!pL-(=k-R#q>cGWqzW9cu`n^@Ln+QpUG!{+jB?tpW&`2AhW;M+0mS?D3FVqpwWVV( zp?=df@PI_DEVPQ5{R;SXdEtaHB95hC6r-W%$Vd^6>^3=Xy0hJefgG7WLc6!Sztuev zNhFn5E;xKcr6sniELq*`j%;7mRb9e_FZ-)K)RDK+q^U~*aB|5JviX;Md0Jv5Ssbc29E>0=y z=-NPm(BboxT<TNjJVaYGXp+PuhuRf>pJ@Uatz(3ZnCB7+l*)#Y zPT?Lv1o$A$CIJb4MEV4j%HZTF)rsXYt7u+~L$&45t5|O21Q?VPm#mB6eV|qZOHMu{ zgF>w&JbE}>hxsXUW=cg203Q!~&a`nTq$&!@ahXZ^BoQJ@)Li5@#7_W(Jw$3FnqN;H z+GJ*q4d$K9vE{ump3Xgfe1gpSONG@pEy#9tv zQHn;+U*gPDK?MC$hypi}!+N2jP!X9nn4~a0x+*dX-zXZ*bbPT762%01%btA_5YK*0 zl&1k}#hHUItShyknbp;bPlq{@m_NJ_Nq?$PoFzmUOxcE=|=ryQ;a~75}7R za9LKp1+Q7DKcHI2gymS$&8hw-hA&>Gd`86s2RwXVNYbg7Ru%-&|MMzGh8)qk{V$|) zJN1M|-Vkqst*+C)mTiKN|4L+Mq9r)#YkJE#n0si89Nxo@_&xiue2rK2XM zw(fXKp+E~?G$USLrL9iv9fNB7UbiT!bv zTEU9F4PyK|J6g^f8;&?(alkO<5xWQOkN?I>DqC}qCN^977MQbMQdY~Ohd3cxIuah# z;skoGX?HwO8yf7{|3i@duveEV>@k?t53!0`B~)fxn}1q%?SQnng``n1_+_sMz?(e9 zVv!=@#L}!J4E|$pdku{ffy+y-Y4ZHx84gN#5+-Xn*|FO5fx)glv@zH%6dASp_crM_6@#$Sd(Xf-F66?X6!gD;ghR!W(c$s$VV1-i>9KFoq{4L3$Hw?{nD9V zN^vU}HOAp{emc>!zFt4h8onqk6%F{;DPzXA`4f@Zoa6-(o?L-Zgr=2y?kD}79GOFD z3zx;%;H8L4W2WjC^~@|*>XzDyX2?p_Hybfc)+hQ>Po8GD{vaanmBaecb-UZ5VR$Wd zq`H`#GQq_B8;9DDZd4`7$P}{Y%UUxUq_Ui)@xn8+@%ZW1s&iI&En`%~Ik1-LB2wv; zd+NVl*Xcfe*NR#OKO;_COOVj+zRL$rovChDl^{Jy)1^f&y)>JlL8HESCKd^OdZiTf zXnwUj{zy?7&aYL49UebE zS~j$EL!XvP;d`?;!@#b5j~`{8RUNo%v4GL(>W=rw%8Z|QFcAPcXyWV~Zw(5fXC!hW zOdy@Jw5G$T5%1wN%05P&xRtf4JG}3XLFtI!lq_(}#bcLmcVAj@#r{1eSv|E0_7WiD zMW6B{ZljSrDOvrtWsfzKC;0@T@=2+3-g~te8xY^F>GOk~vak68|CNRlOKuBpe5j`r zoNL@GV`nW|ZGH75vk)jTaMgy$Tdffb1R_KF!E>jwb z$0rdz4lz&r!4}uCY|UCGRE<@>QLsY?){m&&VnMoKRQ)E|@u`$KRgs28yOa}Oegkap z^Fgy{O)Vs^wC*jXlP13Vg*&eDd5)6iO^FOBH?|Cl3^_4wp_UB_eVdZet<8miBp#l7_d zollf*38bVW!elV`P1sIycC&VMY9y|^Wzuoeh26P*?P?p zL4L%5-J9J1!?rLg`!8IW9!HxhYbP|?{=Zm^K84!%p_gP8t(()cRKl(0=t!NLWV3{H zpk6CYfR)R9mL?E$F1GJ$|8U?gqFRVnS&=WgeOLAr+On7;$`46L=a6g3>XnaOceXpe zY}HZ;N5EuJFHdc*rx#3~_k1a~t>*PN?V@DU1=sjwVTuA)<;l2H2f{VcabN$jtAFtojI)v-`{M91h=^@4W;UwzM@A? zQ#%P&;zwua_v~RtM0mCf0{5M<_OdIQ^FJOiG!1XU(D=DKRcINgo>fEOmVcnwmWV(6g z*pn?RO4G&OE4l+lY@XG-%J8-`5%qLjS-aWIPodWR_1)bJ#6ldP8gc=T0jFQLt97aM zZ%XHqyW|3xlGV*#27(AZOXMxVNKHSYPzFe!r4jE*o;#%KaSwU%W#-!+{LS(EQC__n z3B%&5XP*MATsLg5!9dXG8tUAxp_Mgm=BI2EHirsL_omTRdH(geuc112O*H5>{byK6 zHw7pmxY_60S~l59<0XZfe6*07;YX#&O?AoTBj6yVzl3K>UxOV44?efoecbnIIg= zBuUF;qTT(@9W6`T^KY-MUB)_+#4C9~Ozok>l`YA$7Y2QcElp1xE#sL<`9ofNZ1sH6 zXdyRz&xoh0-v#%`y|R0Wuvm;%wN0(=*!0;ka`FT!{>C-~cj#T=IiG*Sx_;lVt`>Rf zVt4Y|+6UdP|LBU{y}t;W+_F-xeyz_0p{OK4%f-k=`$hqZ3eI)yvH@A)N;CU{BR};I zgZ)l_E7f%G(WUh+eRyf3`|UlMPT7FC^2S1~yX)x=tkS@_Ust;d_IhUg&Fl7Ij@qTK z7K~x0F5dNLV<&STIXG5eIxNs$KN$U}?e&kU%L~T6uq0ztfZ=&xL<-#_j0Q;ohx}mq zrWqd&s2{02RS|}8EoTKWZnj4$I&ByCP6992A?^R6p0sp zGLJ~fZ3Qi-Ls_61PuL(=a5^6$OfoX&@3x-^0EiMKMNtyOdE zyCw4Gc_!A4h{gz}m}gt{_s^`orJ}NkCYz*BZ$RM;5}61j3*c~~?x=KG-*>sIQL(DL z00zZ>B3$F=)#Aj|y5NRgDfrpzCnuXZe;AouK5 zAh=PDa-a?~P*%{~hNaybq|L}#hHmrLxU<#NhHT2ww8cUal=05p@D0=vvB&)ny?Gja z?MAi*uY9+ntII*g6b?lyK;zK)M1R^}YGzT(-4M}X2KccYB5 zdv9u#G-;5e`e6H6-im)(tGIEq8y{$Gahsc$HA%9V+x_S!1ZIzHKGkHQSkf z66|$BZ?HNYY4n(@6~a#DNmm^G?G{(L&#b*9Yb9|`Qk4r}*s2T)D%7xNl(go8tHqPm zN{UB@R4<%VOeEzaylPe+;%Rro=a!(v5XqF7snT;d*Y*vzTi^C*P#QmYwA_e=5WZYx z&zA?{mgqzG)DKp7dZP?6^&qXY!=3sz*)2Z5xZatrPQ-5Rxz_AOZs6@5n`SEGwP9GK zR6M##91`D!e!+$Cqoiaed=e`A6nBmh#YrK$k@NuAKpB92SL8~)gzmZ3In2u<;&-3= zySjA^)!Am?L%62t6)R*6V{7)eI*^KFf)g^$T`EIHEa2er8;i^1NlM%(s5237Zvb2u} zgdNdliD%%E-_NEzbxv`Vz``kKC=eW3S<^v$YFxqq{&^u_T-^%p&+&^MwXuwX(}aZK+}mIv$0Q}DoPgyctl#ptqC!Ww!)5uTT} zRtOb-E3PS6Dk^y%ywgc9!s<0vQi{kNy0pn>gVvHf;SHF0nOJX|R)OodtEaaS#PC^S zih?WB`ncw+tOsKmY21=1D2(PPY3>7}m<;iSSpeZ)}&E>Krg8Soi$Hw+%%Y z>^s<&%m{|sE@ie~9dII($7rynVELU~1nn4C{TUkFrd_DjAW3p;Jwu%qZos%F7 z)8jxN4OeH}shtgHyZxV9eBsQKQ|)gK7&Gf+6gnVN(%hbOwsy8OenwAhOxH~YDU4bpaZ%8K*eM5%|@L#L@#4MwF} zww|U|onzYExpcJ(W$Y7}(t)Q}+aeu2v6cgdvBaB6k-Lh}tQYQEp|qA}Eihu0zDoHe zk>G>yoz|S_W8VoDlsdConw$q6s*?xiQ1>X*BVwOltf`!-;6)dFp{8ZAZ#sCJf|~8U zNwiPV41RMEwa%*{G7IgZT&po5Sz1%OBrQ|}g_Nah#kz5wGap~~HD`Xf>PM38j}>)1 zH(p~RWs=0H|2R6C(iVfBbBHle_8gyOj}$2~7#{n|V#8rJiC-((B0EelJp;&En zMGr+CY6;#t^OW0yDZ9iB$J&AWcE*YVl5ryejqpuM5^{le?nsF#>XEWhmO>LJph~uU zNWS_y!9a{vZq1GjeT#H59-ExH+?~2T?CH%)Z6fWf9<(4Y64ufP_!rRr%Cz=)`*H|D z)S?r-RC+>iQGW{q?#A94wz{7^6V=GX6P#T~dot-3iyOPcoovh#Y?$6AkjP++d2JdU zo@SOL3My{FuVE-tP;F@lNC9Ft#T??H@7>xf@y;?5>YxkGx%4#QFZWCYyLL#nXwSwM+?hn&bjiOoa{mo zRY|g2D?yTBXk>Uen;XpqVq4H-|9v|0mx7E$$&!(!zO)HL%{P`gnjXy*8sh)J%k?B{ z;lPM-YoJlM6D<(&GyE(+0os37TCE_I zb^A_fF&^(ues!qn|0P@3K(8M@nm%Ud~*YQq~49#v>QkW;rFsorEHTS$LO_RVf&EF75He_Nh0RR|M|NvK|~dHEv0LyBOOF)kh~ z*GN6=W`=fOzi)8{yh^Ay$-z>nYX$y+3FPV)S0 zYML>%>R!dz1f!K3#R@^8fxde1^Hf0)KR;gMo~s~3v-j3!YM7#BF_G-%9_4H)PT`$_ zDq&BR;b`q{X6w!0YmlaF_*Ef$Vai&F&~q8p*(91FQq+;9?@aGOStv|e1?_@i=_+}T z^whf>xhu9dyHkJN*X6Fgu2&cV<_B}^=#>Rs(IkKXi<_76Dj=poQh_QKcPV)f=s!l8 zrzKBaXBa8zBmJ!KXc0sjgM)zaS0%2#{;IExW*IT>{RY`3a-An!zrl6w3e_a3%fL~E z?5L3^F5+&I(Nwa>9x<8NdFupK@?|`Zs=gUrb^Pjj#DSNuUkB60VPeX@Q5=QD_^cw+ z;$0v>Q>NNr6NhMl^V$v-7X!D2fo#ghZEqI>!@@ymlcJc`%KAV_(hJelx!M1y`>o&L z!`zdVoEeoF5o4_839x)+Q(|jyD;lCeL#@`V%1)r#GbFb?=H#76TbGH7a zOl7mDS+Y<2-o$)URjFGJl!a*z;1Qr2CgqDE4-UIcZlvT1i4I~<-G=Z9jOr;mG}LWK ziYmyt!OIuP(k5Z3MGb~@hzZ#VzrlD%T~1mI)ZQu}$(Zu_9az|1XYjM2>~f}|6%%

2;Vpg$1&|jzIx{}Ixb!Ncw({O6{js7YU($9i7H<`sRenh| zT#Me1I&;Lxk#uN0SXI{xrjQmvZbbYV;j)cq5-jmAnDE0`B(`t;xF^2YN2gI?)Y1fH znu?&ffr6kdUn715zw)^F!(By@l}CTUfddQW_){zZM;l6XT!Zi1xM}f}*M>)V65uQU zlve9$XH_6SjH*G1e7A9$c@JMqa`0SW-0v~pJkFeX zoH=J^&U8L|a8H08$v|RhLwURNyoZmNoyvUd){YE1!kNFkyFmF*j?K=f`K=9mq|$l6 z;@|VW8NieOimW_9liT9&D6P#<9faL4tyuo$GGM1f|Yz zMmF4zoN&{<`yh4TnP5{|g;=@EmeqoK^WU7K=E9i6K$`DWVct$f@ze8Lp`nlL^OjD!wtnyAsMD#}s>9m?2dmsD}4gJeN zzdsj_D{VI$251j5m+H2?dJQ_@4J)&3i&sb9{3%2eCJORHwkknRrVt^Lvj387AFQ~C z-r27O#*{&2T6IHKy}_PPqAC+%O)-Q_K!y!~m5W3Mq^&JyR;jyP`Hr^BT=}mzw3Nzs zE_)Yq2Uny%vW;HyzAt4I^-uizAahku`UvJ>lhRS5_OxA*wLG?*XyAGhsMI_&v?{E= zUX;R8d-tE%)9dbEUsvt*pNC(&6NiD}#lS};TQYz!e2N)YDH7aaB;HXjmMO!OW^sJ) zN~Hd0N9An7hmqpq&iv1NR-d)>xWwXWl{tSMah ze$;cBofYpdr#7mpeM5-?iD*9Zw6k}!u7WC=#4URf1Q=?0KuiQ^?alZ{=MrowW5Qzq*2{85()M-OOvI*pde+F7 zR{{v)De$GM1NXr3_M!b>{&scL1AlQ-KUvW))g)UdI0Fm@wVXJ;G2}z5j6fl*{LYUPKRNygVp-(Y!p^ zl%*rJMj}M_(JTuzYjQ=L9=_cBrZB9T;Tx0`u~8dqb&l+J?UPN9xfpd?O+_XQr~sH+ zmOWAADm^ffO{h9f9_Y=mbdZp40y67_Wokh`>zD4yUVO#SBMfbbN)v{c4Uab-zr(t1 zKaN_evF!*}ohmFneysYc|H>lY=3O8q&+g4!?aPYV_of8EjBP%Zy=;-H;z<93mAs zsd|Xm2EDID#t1^i5kyfWt<XP{5ex!j&?(+FN~JP9gz^S} zfB=Z(+;zWidzYI!Qr}l<{PLQ!g9DeF8~whLZSe$FzNZfc*=^SQZX9r{yPoOp7CzN>FLhYuH0r-S|?GBNF`4uC$uShtJ=2nkByMCO)TG zuG^%7zw5YhsV^jP172`K8IOi)Gp3gH?Polf$nZ>s)Ua4``s!$C9ljE~IMf2z0^KSX zfC3QrP)4I3BBj1_S))J7Vgo&-#cSx*l?Km2;wK@lsk{+FMYpsVh6n$%`ts1P>p!wS zoL4n(wpCD{hy+ME`^%#uEb9AzDlE_1`s4Be!A0p$mpWYMJA-9R9_TW=4r>Cn@Xa&* z8jEGWkaR+X}ZeNF^kK?tz?#2x%Az)31i^J5TE!+v=G$`#YZE(UOR-dXgC*96 zRSntlgeCZoHB`0Qe5fcbbqCz~-QQ=uv?b2D9zo?#ZC|=h+?mqCfwu7M*4$ znj>cS(i>N{x}Uz#CUdw=7z=Bbrhb%~jrWsv!XV!rxioIc_^QPyh8gTB0dFXjJic0D`n@2RbgUNLLsJ8Me{T|LGrIj zhEBIhL58V~>D|#-EG-R;Bg2yQd zjX+9<`M{FmyFs5d!op#VijIH-(?@#Jr{kv)Wv6pgzJ#lyAv?8=?oaO~`|@(#p+$tf_B9zQw9gtsaBXi%=YD`gzD9 z`Wwa%xujHyQeutuBzLq)8BWHLLu1`v+4RM3@$kx1pj!85-1R$Ze!qWHsduUqaT=Wk z!4O5YOvUDVQ^^1r2kAhv#E61}w`AC&;zalFSZB;(-lzf4dyJtx#>WJ| znB+(XJ3|E96Y>Ip)Y9FArLp?-WkKmsf6Z`kQDP^^`mg>{5JkH(3t|cgtjFYyasw)& zOZFUP<<;*w^7ltc4;Xj5{%ON9cl4^Tt-=1a|H6e%t6o?_e|5V@Lg&&hev~7~A$gUv zU+?UK61Cpeb90XFQxCbuc`@*Cwf zTWV^qxh^P8_2t@vOUAamGw~%?8)`YgM@3E9x#p{srrzAUKG9yHH_pE5O2|gnkO0s5 zGvXuv&_?BJI4;YY6p{ME;e==;$JfrQ{Q;uXj(Pe1x*F13{NPVNc%C}f?(e*R zfDD7flUo&wk_*4?rAQ+@qak|*l$i!O8?6tk{Hlhd;k~0wf<`$OhR@CWrTCnHkyk#H zSP@?WJEcj>?PxE&=%!9@oc+Z0H>QKjKt#-F)=@DEiBA|JCnRN>#+eK`!2m~NVQEj$ z%txr(w)aFq>6ye>V$p!*_E4qRe@9t0C*(is_T^GNO*-gG;=@vmJe1vu^zwYKLC#pM z1FK(u-A-$ae8G4R*Ni+?D%KP3@y4}&IawjinJ&8*-^8*PSvG!NS44*lY>XDT-rwiD zSe}xW03UVJ>r(B)q*s=XHwP_gjHFv-vf6D;9N!l6U6(s+qMfC1SLj^7q1hN^Zzd-G>m#FR2(M&b z6+}>aLz)$_zs-;@*au}E~%WR*Qv5ln^)o^-efg#FAsUa70|>9;7URhGZ-+2i&RUZ z)=$Gr&oX=OtN!LyV;0YZ^a~yP`V6S(NXB+-i(bIf0_2|Io-nMSt-`Rz*0hcmj5QOQ zZ)zemDhyS{DjuvdD_ZX56xM^!Bu5C zfkC>@Pg2jKF$LZ>JSXwUBW*zdyCnXe^``^ok`g9yXoEXb0=>EJoMHgkDc&w865vW@ z{oNZN_fIkV`+u3*I6@QWopzzc`F6Po*n+>cB*Fc&N?Z7T(}gc_?rGy}Y4Kv&;dfQA zre?h2sW+*r>nS0*FoGo zR=+!#4>^q1$(;cEBy%+#?3>m4z`O^I0D9L|dJN5Sl`Iql#uWaT7=BypPw_;R+<36>OYXPzxx*hyjl@=hdC(?C-|V(^ZRlUwCex|B3v<(?5ieqC z4u94a_jk0oPqnP7b|3xjnl+==#GFQ}>Qp#4`h@84lek246csJ|lXxdwk_1xD|H$IH zFV;d!6fhod9;^-@9^A>0gAQRTO>A`wj};@$XQP`{Tk#Hcc_kr=aZG7AoHiNtT=lsu zesp7hy>hsLB!BzTtsbF|Z|-uzhuU&OWJFcJ>dMY=){YC4n^y)8ZE*3Ev0>N%CP%E8 znjKglTPwqXFz%8)zmw+t#Gef$M;*Ig$>x|BC7&$hXDh!ubZ_(jEy3(w#k?~!*zZ4_ z>u12DqH)MtXK?ZH7zWOtx^;P`6I%!B@&f&J{K>jKzGtDPd`OOR0umOZb*zQuQJVjL zSFtVXlut*qvkXzXmz|W?*E1H2rXG7Jn3j2`d@eG@TUzVsS%mFL-3ZF5x`h;_l9BM0 z+V_OR7SHg8ka>Ca+>Sz|CLSqXdb19!_j0Ye#>#n8OCw~#N9e_B;DVdJBph>v&YCT5 z{)@pQ&CfUEEO7-AY4z@2lh4vz910pa#Rgb%6bb#{fNE{y%xqIz6WXzR|37v z3&Ebc4J5qK6<(W*Rw>pxSTDkLNmNSNI?WXkPCNZ411)Z`byaog{J!)%!fkwj`NX#n zkNCu|fw<8mPffz?62wDE)=JN;@kUojRRCteh51i2!C)rFDrtOAh1oSyy3-<@ZJlCp zEROf}b|a}&yX!vNpY56#y{smJAmo}bI%fQ+t`A5;G&niBv#%#>89Cd8(sn{+vHHwQDSvs(Rf8e{tn`8dF;ng>n&rmBfSjGkpID3nQiK15|W zl-ynLi>8&bMQ%Vg6Gf{zC+0D&=7lNQFu^Fv3FoD`vG1lhklmfQ>ddo0Kb>a;4Xf?F zj2?nxRz&l%1cbZs-<#$_6=z>nsnmS&uGw6*<>PbmSa;|=Wi(qG zci-to|M>KL18V;rcTF>7oS3?$zDr2hlh@LVJ-Ky$W428=k#BVWlepy$Hw_HS&X9Dg z9B60sHFdwf&F4hszp~-qZ~OWU%og657QGQ8FFM+(!Xs^UQP&caQQ6r~EiBJe&**Ck zn>dO}hay*1N@?}|zbRPQcfw@UIbr&UPUrYZ90j?MG+tHn!i zRn^nJ25hwA5#if4tz3ECfwOD6O4nbVPKQYJ%69T4p^r3Zjj|GQLjtsa=;V>1_?R`F z_lgs3l6DEennMw7sE$omsVeDFBXx$K0f{l0FUcC|NO5hGl?-C7GwLx)k44H56}5nH zM+F9loc5jrDvg8%Ku>N|zaO(N zQUs_2Vaw(N?>&8_bp3m3z8h>aieV=GFa38UKGn2b;563r5G`+vY^4vxkEU_T)6iRg z`r>`JOQuO^!peYw#k~Ooax2qWv>`PM{)W*;ypcRL$$_`M`FS{2Rwt^9`K-kUAf-ms zL`FaMveIv8LmW*AR|!Nd!&>Ypl$jR@b-BC?SCN29aN-(x+)9PZ^MB+esNRdbIHAF> z0?Cun{?%q%J*oU#^Q0BWi7}PLh=3>Wg5I2eK!5R;^@H^h4KM-9+l}q)=U>-^%uzPWav0V85izWhw5Z^LNx@sD(EbcgaQb?K1H913XBepTvHIp9A)+rlW_(?tlzYGO%6 zfok2RCpk4pLax?*bgLF)jPG0Y~hpb(;; zOrj+9(0%JQYgBFQd5me*9g0!7o@Tt9PW~3j()D92f?YS z2X!6nN|>=BRk<&L7o4o$IWLW)Y6yO+Jh!S2+SDKF{_tU1q=m=TNwy-XH$XC2W)Sr> z<}p#9eb4I%OQItTwre%FeCuXeVqZvnQ{nHgvKg!9uiWyhLQ9wG{L_|OWQ-3?hVk_U zrQ^6=-iqMo1t#65gYUhreLkKze)sL)mc`rd_?1z0_KXQ?O3S}KDB12Eh?L%eq|~W{ zevy5N zO4E)^=st61$FOJup9YM9Wja@1%ulo(q&v0@Bd| zMz$vbl3gI4_KT$I(&176j!V{^IA?TVSr{8!uuPl`Tw`rwR~T<#UX%g4sLaxRJ)KDy zqpnFFDm;Nq0F{&{tsDE3dVdbZcazyGB&kF0)9=iWJH3AMy32%{B;EyifKuXWl4fl) z!f#~q9|(OOP2h*Y;!rmwSEaQNLY{msVmZdP#}z&qR#)2u8P!Rn>-L6;TJ2QA!sg`& z9Qn*oANafJCB6(#N5^Z$wAJ!S zQoiN$iaIIFN#Y4Q&t~Rw*($$G5-s%Cu^cp@O(HgVx{;bk)^3MuzMQJkrNh6@p^C-q z__ZhXd!9e_T)IHpkqR0~UHJTEa6(>^TB1~1{de`=eqScL-24ZZW!(E4+M7?`uCh<2Zmw%) z*X)zUL@3r02jd+nbPp2-CaosTZS3KgUJ;E2GrONV9d_DZUsL?;j^B>qQ>%|}mW|T+ zlVp=b zNjxkuMRg66pf{$wf^FUkNF9C(@);1_o3b{xPjAT->jjI<9 zl6FSiC}Vf<^3?j#EWEPqx+3b5VEbFEwxWNNH0Tci^`0-xT~X8n8Yc52z!wh+DjGUU$Ud!j=A9-A+sQV{1^Go zjITIxY5D_=U-m=!^&%>Fx$=dpmZRLM**|c1ukt;r#-fe%}{{ zlgh38;)-6zQdQs-b?ZtJP2RK>F-#;;=oyJlJ{q^9p<9k<5dSN^_NpRYSn=WoEP}Zm z9}Fg3d3`NrcfNKShhvw6`qH8O`L&_nnb%$)xp;c2wXRdnod!_?M{aa_s-^rwqoGt}I2drxxhraOI4bP^j;CWWXXhyhgGeVyk#pVw9wM2+bEBoR{-mwuxYI}RVoN2QM* zO796TvgViVKi?5wwA70+9=~z+@dE8fhT5IO3C)_5=#Mta{BWqs@(L0Q>P}`J%CWk> z@W?|Nv_BRO#Wm<3fHGL!i8Y#Y6JhPr=Czr=BGn;&|BdI|EFSE87K7E?3-ZFNT*|1u z8NjilzduLs1Z<_4*)Px@=?e8pUiQV;$vD8c;M?hyrG|Z)0-n(F>dAIbq?&TsdzfU;igBDm-5bC7#xKsnP}Ro|jrnt? zbF*6fP6&}depQe3Y`V#$K3XXO`KEsBb}cR+)dT}K%|JqS1&j;A9*p74r)}x6(zYuy zZwpHHp=$gWGvu;!oUO}-5bv!2JppPz+I0Y zGC>+c7ngVY7T@R>VtX%2ohXm{Zg$9^ecKp;ghDr^@-S6<9PttmCqX#@L(`Jg4?3JH zQUzvMSGMYtQa;vy_jhKdjCO0^-n6>3@cBjtg-9uz{2Jl&^7ke2dC!7pwA|-S1UI4< zkkO&m2txnBJI@JNrS?fZqiMXF_39@IjRnz_qrOVTn-7V;Ex$Ze52xyxL7R#>BgBd( z!79wf1OvfYq7RJLly}2($4z<9z{@#`ZBURbv-keR;Z}G3@$hNE_XduH)^6da!#_L_ zoYr$ukoU-)Y*Tli)JX_>L7mca7hoH(5Gx{exeFwj;7z&$OcWnnl^Lwx>6f!N@IP9RCBg-)Y0-W3x$+r_=PVa*2YST&LtQfh1-X2b1z%cH6!i zj7Zm0T@{XrOOtziIM=gsq0*lujojnoW5pcK{)UyV`dwjHtMOKwl zBrB4WSUvivgb@&W$d}L+^TX>!Le9IXu(j8*E^DJRUv2q})8DB1z5BPtRZaUB?rpuu zs@XGszJq9OAmM?@@!(e*nIk-U#}=8>)$jNU8yx@xVdWlIJ~}7 zvrynBb+ek2J!s7l9s~qE!@W*pYT=p2)y}qXVr=og#&ccyPF8oC{@T9Mecx#0w6nkc z%(@$0{VF!k{Nm?rYcI5{w7=1h(Ig(BubJ9fADVc=-dID?1-jgSD>r46a-`A<1w9z1 z2SdfYMRl-u{hW29b>T?k?P~K2n_sw1G39FOSUe@_3FS7RCcHr4(fE-TKX| zMPBM&i$R=1hYm;_eiJG>CUf=J)lJi(WY99OTh)ib#gAZnz4+}*QT2#r7y@1%R<3A0Txqtawpw z$zAFI)WRX*p2RAzHfKnlDhMz_@^>zsOApE&JJc^0akF)YmM~D$#!dHk3`wDC%SaY{lT2Kg&8D zG_QRPyZ`Nme_KZX*aiX*hhlpqw+6gmyf<*y{UXd=#$22wpdr%)1Q;)Yc(*dLb`mYNhY~?gTxFk^ORVji$;>0wX{X#E0N4$W!7~(($c=aaCgrjLt<>w zOlpZWFj@;8RGpnt6y~Gy?17lsKic%MwoU&d+lpI8{&3*$)4A=*Rh@SS*=eAggZQpnYEK3TKax<0_N}JT8_sTLP3(Goao@ z;*F7bkY`a;*fke$Iy~n)<<*rt?vPXuR# zy=;6=>pA{F&t^TXj5>b2@uk}&yN%iN#u27^@~L0}4kk52J@RC3Mq*`9{{dfA$x9?A z?5fWxc3$k(<3( zrGxDTXSf*Nr49ll7Q@8^wnR#6lvA6ZS2?3Rjb-kNdTfYIAk?0VMPWfs0OA;~D=y#W z`*nXDL#_9tY3~iYy=b*OtMVu~nN}>zlE!KB8J@s2%vdIB$EI=r$aQq}^Vvs=i-A$0 z@xhe_Gc3MTQuKGisi2_Tj_<`oGM%cYE^I29=NsFC(x(d73+AXRe_0U+HfTpFvxeRoG44|r8THTRi(Cc10(OsK)P#eZmV&|c^ zX--v_ix&izP;ED*$P0Fry0MA+7xjJXgM9gQGLfJP%*+u#p9O-kvHTkf{!#m@^mCR! zw4W!wzsyfOo-XX`q`;NCRC{t;yq~NHWnL^9lnT!nEzgyBlo}6w8yGi9Ti{zZoWtGp zbY=wuia2)HnXdk3t&`491zJUX$Uxo_WqY%B?V=CMMT`#c2;ePT38W3>D9bF7ezrDKQEVAlc6UQ z2QSjIC`=->6GURPe2@~da>uoh1f#9_2aeDDvF>)qpIup74QBu}`Z%fjqUP(?aVQNo z7kX?hInv@sDw+qR*8N8gtRJzy_Dd&W#b>tJCrkBU6B&=zxB4WALM0Y_dD);etuqn^ zSVCB94ldI%B@#&)Bv>=DSPcqU6 z3XC$Axw9jw9_I1F@Uzc+?wMtQ5@FU(mR;wXEdyOysx%pyoWPMaYs5|DiKG@~lXKX& zeHDpy>f>wD!)8W=D;2=#f`sESd^I4IAmquHj;qc`DeM#iZOD)%wj;{q- zrhx>>Dt;P&WY2g;mr@oCRUNU>PZcA}Co#*B%oJJ#Od_?~QesD|qd85AC@>7n=#m2&eOP1Q~Xxv<-`Ypi?fI<$<3Fhc+1OdC2&H zQGu}2Ykk9p@ew>?Ml>&e1xPDO?scVoQG5Z6%{6^?GuCl`xxVYNNXH_f=}A>4ps4z0 zX=}eKsC&$@?9d+J=9miEJ1^f%MAmPnRqI80_42MrXj+3+`G^Klh?Bu8ZfiGel)lCz ze6+Jgo6U#f%-H_J5BK#&r!VeM#w!7<1hJ^&PYZPv>1R_7MP4mG+Bk=NeKQ&yv5=AO zrn{-e!tKuBQ&GNKmTS(b>pJV?1t|Ngb#bcwW=8#|CIXwYnbw<~{aF6jVzjwQ)blww z->jF|YBD)aSqQ+ysz@X@4ZiI^Zvt&JOEwQiRI}jWZaANm`yXCf_QOs!<|VfC*<v3}HL8L_Kd-< zO2)9`u(;{V^8Gy`vCRqgNNg0ZR{z)Q4!zo9DH`PtU)j+ogYdNz(+o$%Jkv;Va}eA|Duw>t1j ze%E{9f~SW+8IG`~&evOOsDKhzsO4%qA#shRV~`h)Ws|#7T|#(37o~V(%oU+uY`RiS zjeF-Y-`tQ@Mpw7@^j|JirpUd`m|()Hbf9^s^(L7!RC0lNckpe&(B_AYmei#E3XoEg znD(!gOVZJblgAA2@`1zO|8>WyZt52eXT5^uof9F>fTdhK%r5w9kIH?D_n3Y}oOAq4 z7>EwabES@r-9{RtNZ6VYj$^DGl9q3nSBXd$ zi=hMSI`3TGJ8)0+4BoS4Bcz(7(||w$k>d!tWfYL`HO60u_lB&kd@_^P#>&b~6+~R- z;2;ntR7PC*Ba5YjLnChv-O+Syj-!zUp{-Cr^NA%ZM$?%f#Gv+tUu}mxurN=X?6}BL z(h-}4h2al;$_P1{h#w(1EUaMMiEs4wvQaF=!DduSD_9uw{ohIDU}4%AR^dQqzMp~8 zQFW5QPVUAkQx(EG2nN!^!q#yF4ECW3Yet7o#b^9+~0C7nXaQpQ3Fn zp>51^T0kPL^-fK0yyjDFsBjj41fdt%Pe9z*h;ibBS3ifr37nSCOC>a7JV6#jJ{Oy0! zHg=}57I5reQ=8qwb1h$R-}p!>D~iS<7BO!+qh~u+nf<%qyc7u?dN|uG4Z$Z5e>b(m zmH0h7t6+tbw4dT$*cshOrXyvo*bqm9} zsxJB=Dd>p>K6K$n`*@UE7_YFd*gf8yUMC9`s&z;QLPLu8A9=EcDwU*dk~mFi*QvGJ z{K4C!`{M_r$&=+kE&`F`XA%O;gFX4Q2}Y?Yz7W+CP)tGu%0DMJzPTM+YX@EN!93z; zRL$z^Yx}#6P?K+AD4wmVK}x};l6ys1^q)^>Hs-=f`A$^gKj+mVJb;Lyh^*8Zn(X7% z+2#A^{nKbGBs6L5+6Sh#B1Sgn$ z)^h7YYeO2b5d;jgz1W?qUkJj$3tnT{fc-Fkc4mLSC1QFLTLU+OLrD}79BP`hc*^Se z3Ws70{7h=ZZx$Fak>w*b-i(8WSk=gPkp?c}Ogu16Ht;ge23b}Ne5LVsPCwmvi(9PU z@a@33eScB2Zks9EWF)I4P=Q~Kkq**lYp;pyNyeqd#}$lAA}3k_9mAK)HqE0~GK#ih zBlB-;67SMlGGxy+Twz?e_6|&{BCj0AAPcBbG0L}?BXcYsWlial;KfMa8)tcL!`k#Z zCfKb)@M8EfDdnZ=O=L)@D3y_5zHCKvO`LU<`+w(R97#PNv~(P}c*8%rzvQeY6cZps z&^>O~uKwPs9m;b-T*MXNyQE{}9}XT$=ITt2Zi;N z=#+Nr{-G^hbreTiaU{nqE2DsmJP<@cQVP`?cVuU9))|n+_`(qNe%nH%EnhL|J(FD! z9B?GqBo)t&sRcn~0U2U}@bzx2VZ#Pj{zAO`dV zft?IbQhC0TbaNoZZsY*Ofzs5+Y6r1_c+ZADI-Dw3_3of);|!57mLN$Wi`rwK^^ew^ z%&7JbkUf$I6KS{WdjnkuKK|dy^l-OrlW*6r8#p2C!RYr24c zA82e$^C(b9?+K6|L^1M6f9Rna&9*!EWH2g<;79KK_cw6P)!Mc0=(fyv-P8vn4pO)x z)g%3CIBVUJ8&b>H#f%#Ss>hU`As6z@2-4r>8S;s11jmJrf$Q9w8mNiJ5_ge~U#xF& zS26BwCr}Dc7HqglIcgj55VW|?w*@N&3HEATQx$DAh7L{qv@NletPD;-f-Lc$pcG0x z?7W<@e6cR5P3N6mR^3+8(8VpTfu4wdurCIJ6iWQJ+(Z(<@2NvwJp|X zd}Tx8{YBVbr-KZFo(}5X${xXkk5-RV2_CmsEti#+&2zhpoxW|59?l^H8}<>7pPE%O z?W{l*GQ1L~bJ5eA6PIjc)!r(0yhpNUnmofE-1Paa9PIf63}KbuJMv>U_0K68Vvs*& zG`p1g1`$2`qUiW{d>le5Ao0LM7wc5TuX?hm)|cIm(`q|v)w5nG*NCNlEYvvRqr+2n zCIEZ4V=U}nX{%UA15{!(>uAihy!-%M39 z8XHEqxJ9E_1Cg%_cirgreXefwf7m*6R=^C;xclN)3)Sw8&8vIR#I%*4I$Y(Wm#`-x zq%w8=pfmeE6|t#PaE#}Zj|hYR6)bE<4KB)|dD%yUJN%g=h)3hB49@J%G&4>P#Cde@ zK!<2ZSJ;ROaQ`iH`eZcJ*gC|QR|oAPy7!-2+-xFG7|pa!9Mrv3_fqcf=)`T`>ZDDf z89H9mjZRCmH>J;$5j~A4(qABhZ*YaL^q-*rL+S9^nvnq*&~BA7qJODCnY&ia*-otg zn@){cf*nR7VY;#31Z`s6{@!~zPE>W7nbpVr6j)D_2rq#IZy3+2o5lI?ZKw3K&D+Ry zT*>(3Wb9f!Z`4k=Z&k}mW*vG@w=+kcOto1V2ZUu!zva!6p z#DO@$_j8Oy5jSW!vn3{uHh=ue?bS0CM$wH8O8Oix=eGDQ9|FGbfWaaFs6 z7tv45tQ+Y)B``*q5?o$lUN13H{~(4;V=qmEk)j=o_@w1imc0d+v2XO~y+eJa<8RFT zE|BdFFLR*&1D6CVPO&hSSj`Id7KDk9Svuh>AV7;w!20wgv}`;Bv3>pN;d(Dxc}J*_ zoNRs7hLKEjj>tT(QNc7JjHPv76l^)e%t(o7cw7=6jepO3_q?|`P@yJu-lKX%COw&rE6ceYS zjQ6~xl16dwC~Com_;~sCg7NI~a>~Lin8uGy?A22y^jwBrMss#~A8xu&hQm(xI`tZ>-h@ z59In#>G^OH6luPe1)1|}@+en6TTUsPMBw`aR3Z5JFN}t+=hf)MlHTNGHcU%ag-?!K z`EU|!QF%tgdd`mBvZt=UWVW?qaT*@lBWmRF6+z)it?I_aoXwc8`vgvZryFwwm`7!z zNt%!f3LRI@iP^QLne@VvVy=+I5v|};4LvKe+3d0DTP7Z_G zf)CX$KRv6eeq7Juf=JkcUnR>T`d67PqL)({iBh2GuhU#@Myp_P`W6vndX`Pihgyov zW(w4n(R81U0}w z7m3NoMqEjKr?nwXsjM*;E|6uasHY*e7w}abjoT3C5(#E4??#57MTI~9B|7d18{FwE zI#26Zj!@OTiD43-QsNqUUsfI$QqqNs)FNP#;MCm!1`*H`|5pa_dy%u+Zm?(D^ZfY$ z@P}wJ&PWv!uo4rG5t{`Sl>0kS$(gr?Gq6uJ1n6Msd$7%5#T|nL_OoGxdV}A325LBm)Zus>~i4na*q6b zcmLn}vgu?b$$|4_gy{2|ni~RDZaR-qNf7<1t%_Mn$y)YD!kSuBRyUDC7`?SDC(w_k z=hV#hC%gc_cFr=wfF!j9+3fzNd;P-Eo{b?*2koixxCk*4GAKVh>5O{t5#RdAu-kW}W@X*HM%fDi zW<)rd9_#OM9aneMN3-gVdVjc9i-9D_U6N!4A4To?hyLZR{QfN?r_3MnM;K9@;(;-l z2b4Y2-(&o2c++G696hmjH(Gq2^H!EjY8hMBYVp&`ZQU_yIAL-fpznhsFb>5Yv~X?TYlJCV&- z1q&^k=D>Slw53mm3O#4k#X$tW&9U5@j`Z5HOTjZYg*QyAF!TE(UNSzBm&>0$-mLUF|hF=Ok|pBhz+D`gSL9comANj z%>voN?RqyWeT+_MZ5#4g&P2SW;7+Vt*SkVtm*MqZnVfW#3C(x9(OXBhz${=hFbk@5 zQ4-7~Cljjr8PtoXHx?^};Q3WJj}N8Rpn)u?ph%tl5|cP0S2*N~vz`4?=Ao5IfqIWg zLdiN(RAG?0cRRVP)I*3OK*ACPN2BaCZ;B3`5lBJALj(CjJc@Gy5{ePMhCDl^cCbBF z&ZcZKIS~}$FML|?&I~AXe#X9)1d5Ud&Iy5tZ;hM&%l<8A65UQCoCUmi2CToZ&{xyB zdwrPTYs3{To`J1cuA&bU^Sg=ovG}+BPg5gru5GI_?H}B6*N$_2W-rr%xyQZ2WQ?Rw zQaMs&|G4lz5|lrDCB6GeCZoN(BnxVD$=$^6aR0JgJsp2knfr+&x8oX)O%yKEJfURl z2EwEPP)9^IIm<5`Jw?CyTE2V>xo&G+NuX6MN#rdf0H~`fB%+_btx} zB|DeOUmMQ1-}a**Uu|lP_&2=nPmU$7cDJ>rhEsvE`?r0)b&c`#At(^ZMOg#l9yryj zf9rpo6i?>Av~8U`dVB3DPXFbruS_XMr(p4X$7|Wn~Mj#?@jc2Mk^yB6VE=JkW)}JVmxyFCatVzoI&CV z)|F4tkH`@qA$ic;icQ!z02Ywn4#lh1dQSK^&#b5`{eS~`S^sV4{xGL1^?9U%-}QPr2y5%ylojToNBhWF~lEgA8$1V&rs7uk7g4CGiJg@ ze&DvgqhSSv4?uPB0+YmlvPu$#)B?%&qn%ZZoGiPf#Ub>Q5%Po#Ava$)D+Lu6?`JLb zsuj!Jp<$#bQ3Pg;ipskeWw~*Gv&|lV!UqZDDp9%7?d>cJzbfe51g8=3Q5pZpr47|G z2N9KdBr}$qKvourhQW03$Zq%e&-5!K5)yr=BT64v52!n&MvYJi)!o*Il7LE-T#Qmh z$yGtxf2-ejY*{8~yN(XPedCAi1Ixfphn~|+t4P?-92UNh4)tbkehMLF!5#kVOY*{M zdQUg;@=tpOmX8RjOo?RD9ayM$YGOxH`%kEhCV2?EW|Z-gP3Koq!*0Gc_0^L~tQun~ zilvS2*jHNmUHMwJX#MCTt82z&Rzbj&jyTx8cx_LNYGSsCg8 zxriv3)OfzFtv28pNNf7UBO~pD?%Ai-W5n@F`kb+zeFk zkOb!urbv#|lDq%dC73ETk#b{SIB$cWK#>hpl_?Yim3{7`|CnQ;;ah`M?k%rQSG)U< zuV@GhlkTb;YDc_~;s))CdiTwL;^^_>tzmkYRr?+!ogCTVrpIcMbo>WF0&D~?v;V}m zhnm7A*20ixTwGnyl;$djGM{@i8=907Je|bcwq!a&e<9XZ*rI^gV~%!3`t7mMStKY)_w@PpM*D=v$g5b5x{Y z)xdUID{nrgBpn9!mG*7Loq81tgCPOFdna+_2M}Ea1O4pbDsdUB9ro*kq4!fODm zkGNx>Y4^NFn#T{aUONi)7z?Y*(q3o+wq#NK^XR~o!Ut{&W*Wql$d=rLP@|FUF z4g^aW0rT8t;c715{N8rAY>(0DUnk_7Mp?4<1or#T~14>Eb8EAjHhlfFJ|v3~L-X(%2Q|G2ni zjmk2obzPCO(m$Mjg_OgNhr(+b-NKK8O$Zk&syVLwV)nn?Kh8M>us#LtIC=58%pe{2 zwtu+j6!*EuP@!8l{Y33q!ory|5g>A&-Ni@OwzDXI-|L1pmF_ya_H(}YKU+FJRG2{z z8jCXIhw(qNl3&33tCR_CiFMyU>KJtkm)AvT{o-2pz}HTTgC=+MYp3N>+vs$Q-x?is zS3lH|!F#COb01ti=sVonxuh5kHO6)9&v!WcbjBZznQ@=qw=CQ137{pdT#^=R+?POW zo_N(=?!?%df+#ZTO7^?#*>!hpy`a$@`f=?~7=5|mbG7U%loWRSG^5iJ0iD=a&g*tJ zez!j_n_)y|2n~bxdum3~U<4HoKXg%Bz`nI-f>TemLLy0wHe|SIiNcqUqEX5-Ak>!H zBfhv(Q)Mn~poF3Ru8@)N;h)4C-J_pQBZ2&F8e?njv19G+VIgvV^rq@HF1|klm1eS; zV6O}A&tM~LhLf;%erH36bkMByO!o{ik$CtQK@0q6-^SFkNHaJoedY(bYXjH#^V%0& z%XiyW9ax`1r4gehWxLQyH+)rJmFxRy>l%7=E2vG&lF>oOiDb3zcbgiAT>WP{vhM0G z0&Kl2zsi;WJu~FjOS8>P{QHc`L+GA)irT@50KEZA*_g*?LjSTemov58-cY*w$7{*a zODopBz}TQD0cgqFPHRn8sps!%Po@g}2QHpY%ywS$^BqvlN-9zhjB7ex+tvt`Z`pDj zp`M<(wWm|G>5Z}u3D`)rR@m(30M))BMoL$0Yr|Vn!tzrO^7Ur!l~z|2H{Stg*zBA? zLo+&%quOstS{ym_?CNJNU9p+FzG|za7{@w$Q)4kUw9e%CbUK4ZIjpSzKx5q{rR!hU z^M=52l-dn$>-PqF@+@?+U#)5Kd63ksTm-ZZ+TRk*BrQy(+^##TS1~Ttq`kRIJnTMa z)c55w%qyS$Lfzelt#bo6?-LD7AJQ-#YBiq(d?R70Zhixe>@QNwPMzjl_VG&8;efWs zK6>n<6X>Nw2L3)oXpDL!mE%AQBj=^#Cf09D+v+A>gZ4ANX06k~#&ypAy7nIT{wJ5O z+cwWa+(ww?%Na;gHBi=8iEHR1<}!{`l2N$>k^kqx#=pKip!WN5zcxLuGnR zE#M_tW;htL*P4iNNTAs@y}qvX!1k)4O^gdUX)e}Fk@^C}S95^jur)?(Feq~OX3;#d z*h~(EwR&Rzj@ySgX};&{2cl+-*>31%P7N+{%}}V0%XTssyP#y(EaDp297-l z!|sh(WvF=e*mLRh@A*n*~@g* zi~7c3lG3<9I{Mm%Bnr$PjuT$H;5Qeyl)n6*ov4rP*|qw>=)hsOxV37^i|3pwcLes@ zoJPoHIzjW7T{dpCxF1fYOPZ>fyHXcuOg5O| z5n)f1`@QvD*#LXi>pBae;ER~&>v*(UMncAWBnmJNS(nEvh%%Cx|D*O+cio#;N#SIX zmx*qVe3V3{Sp)ssKl$x>=Oy7ph3mn9SC$?F8dPcWDn|+It6DpJ;D(WI7oWXqO{pA* zKMZP!ttbI3b{Tf}^$xVf>&vM&LVH*FqB3$Zc4O+<9X$9GQYka6t6dVVsyEH|AGscc z9$$*0r+G56Bq-&|E&thDf@ThB=v|@9ncYj%kzTH}Rjaa)_;6FWd2?j4Z19mnQ?z3! z$KqY!XK_G_Pc>G_TSl8b?TtnB?NdK%d-hEd`xB}$mG|>FpKq+|q_V$()ekDN6z9If zLw#u?+Wc$RG}XJjfe97djhum+oe1{t``p^9>#pxIQewwG-_zSl?LdrxBb3h;3TJBm zY@gr9ms_E;MT{#lIIRHP07r#&i_&Se2q@iW7?E3q)e2}ertGa%zkO*!-j0{I%FU`U zEI*dYNhuXFzvoj}x2fD6GS&JHi37VGpBuU36&&LFE$coOX{DKicMolCw812JYCZmCIlagJ;Af4XGt)REfafbeJ=px2{%FZi3LWTND)b z$EDilIyGgsxPxCrsDVFx_T_$QIBny?cUX+~H)ppt+3vqW;DYWqH7@K`L6~>@t`Amc zWLC1@lCs5)O&;z*OIV#q7Se2&|8Mc8^uTcS_U9F`%W zBZvyl|BtV4fsd=G{-1mI?(W^qZgMx-B%4<=yV)e0SF=gqFFHxnHWX-6XnE6apeQ0p zif9W6oun;!38a zI8-yz^LSj1Z7cRQAITt2nXtAfIvZap90PGW8aMl;upyiy$Cg@1KcK zr~T(vlt#VS|AC6Et|=ipBZ`fK*7crJ<=c6FzG~V6ogT=LJ4I7Kp~;eG>3u{nTAEj_CK91v z`gYL-2Z{dGNSz-{C|*pc{@&u~JRjBwDX;=_f&U>BcL)&azl-vxW()k~mPuU31z7s( z_$cTq=k>DJ-F+uqg=4G7joO&aGibMI5Oiud;F9vkjK9$=Q_&fA-F%LFG-5hy8kNVE zKDP8aD8kcPLB&x(43id_q$P279k)PUaG@rjsY|Jkq<{6^%3^qQuBv#Lq$as#qwjpb zSFJ5BD+Xgwd=epdPIZ`0RG_ru5|&)nj!`r*W(r(FlK9YFYMz_$mVloYPTCAeS~f@< zl_bbxP``D=5U~dk7=l9_r$_V+#&$@`SQ*QGW$MIB3WDm!e;L)F@-#Z9y6hv5i^v@a zgbGAdT1tXQ@MR9DT%m8#${YLlr6UjPeXIEk=mvd;-U6qT^fa`#VeJ6k&(I~EbYWH@ z)N3;QQL*Ex%l#gZ0uqhf8aTgK8E`5aHi2$Fn2i=X7v9qk4g+p}COo!(6UHo-dQe5~ zOV*b$;HHu@r?s+ zZ12Tolj3j-VKKTjtxX#I7^eZSy@b5OSl$dubGMqMe?UhLq-28~!QQ^9C8*>`PIr7FM6s9C^eE~*Lg zN2gFRIt?OAm?ERMXzWrGT6hGdw=pvHf|_@jd|AL5>c4RtI%a9w%D~km=^YedDfVd_ zW#}9B_{dm7P<8B2K~FwZ9I)m7c_U!Di;&c{P%LIbcAho8 zzUT@zKu7J_s|qXC{Xa31UetP0YiAVk9uvMP5jl*;7`5MelOYA%{9tJj{kAJ)u^ek?9gNb^v59Qud_xOy!m$|ilQZBwn2G} z8UP>U$V~z99dsGydP>OGqu*251&PhN&}`EPsJ7o5&5A}QEG3@S37bV~csS58&!Mq8 znjoV-a?(jh4jPrtZ71Z~2fpzMil+)aq>r;INo#jXAhgVTv6)K7!q!U6NHK=hVH%SY>7#Y>yZX$f%07hn;+y>o8Tt*x$k}TKG z1VMqGE(C6+l?lDT@P(BL-IL=ebF~mm0=be}^&GJijkMDkyj)?D-L>(qjn}29Pr2my zMnxczh5gbHov`adwmNQ8ZL=~iP|MX#r7Y#8VTlJVhI36 zjOxY4p*e~o=~8Z?pqwe`icpafH#pi?M%jk%pD*lSPu{xE3HS9HN2-=5$T76qZR#RD&zKqC2?=`yvs96Ne#+i|QwzI4Fp6dP7o8wyx=Z%z=OgM{!Q zo}}9Rzo*R4j&)W<(aiVq{z{UB0CHh7CaNdYzCYOYO$TA!jOEEk?|eJ~ENHb7ragGz z#u|bjL<(#)%0vAK*mx4yUoPjrs*K>`dI&C%BQtvH6N=PkW5)s-5_9_Mg=fafx` zIg`6Tc%=rbb;mpe5RQ%O8(qkmxk53{8A-l0g|P!SArzxM?kEL4K3i)5R87h)sa+ftS<*mk{0Vamg2FuAI)1xg!mpJPJUT|e+3Y<*)PqEcdE zn7(d0z6$c~Uq!4ya=u}%f01qp`Z`i!)@j)OyT?<8>BRN9 zbme!FPKGsa%Gj4F3l6^-`iaz#>b32e+LL5Fls}^bfT8)By+z>h=Eekc6EPiL7u94wX&%Qm}L5C_nG7< zz^`*ImTeLDSYS_>rV z*IHCeVgFIF7yw(+YZfhI=%X~_bb)&@2%5w4nyiZ+Ahj)lKesU1)eyuJPDYF6pu(Kb z-G$7+`&h`-{;OuzMJ+pn!7Ri!C9Pw~54Ti2WEQKZZfpvwZ>}^Gi#TvMF2C8byq9iF$W4ufR2S9iS382^Kd{QBHlo-(LfZ@G%X)VXQH=O%jzPt_mp! z)yPZ3gS&QF0BGW>AO}>v;pU=JWKIuapg1(fM{$JZ$}tJd^5wX`ffb9M&?{CmlX#G$ z1VPvE(Fs}7FV`nWN`_fbQCqt&fy?lxft?Kfg1`a_h0PpWWinG>0R`&7DkeORh5D(s zFFQ@ygT0Y4jN~&XUK-$R6GIxs9{(Osa-GD~Bw-xStzvYK`S7vP_R}xvc)7&gUk@mNNAoPf#lV3c-Y+nFL4b^2 zQ?7aotD|AAS1n8&gAEL+wkLh5FrWdFyBz`%9U||jLnj&aU;>g49yB94070#7w)>7< z2{|%#!*L5RmE{MqX}|~F_VW#*e=+EyychCeL(v zt&+`Xa$ncqXa^;DoTc@Q5(IionsH!u1DDi3k1q-vK59NQ;Y>^GQ`AK`;RZK#1M>I4 zU*y}(DHyQBsz|ukCHQAx`0_(g(y zVGLumCwst}3Gz}3o9A}SY0_@64*aIx3!40V&OQ~ zOY21j`Qdbjn%Zj?JF*bb20#v%zy}jrC))J! zEuYK%FBl$!JBZ`l1YixS$m_n+h!!F^SoM&&)oIUGz0JG`gtjj*N_E0jFH|#O3J3Ko z2;OWCVxl3ij@;HFfin&@K%eR*d-lzLvTIRET_?smsj^7OV~8dcY7f$xZo;bX+R~iE zPM5#>Qs{ZVbMw>bo3Jziq1Ef?p2q_d5w+ze$#4epg_b4;E&(_nO8|!os|^lokfHm# z+$SA$k#G;e9HYpfO1Ib77cnMaFOcJ~P!ISZQHC#6+sK^^<{z!PE{BD8I~nh$s%j4F zsAilR`lt$~8cXxMb8Q&Fxdm}dO}$DXIu$xgQj3}7_@Y&cxFM`k-Xzr+nhy8rRVrcT zA$#f+R>f#-scWZwBRba?btj?&Vc$*}cm!@>N$DTNFpuhm3sY4MpX@IRbF5N$1f{}w zhIn{bx&f8r-AEcf(e)x##$*B)*toVJrJFiY^v`FiD@xVq4@)hTIRTO}j!DR_;kb=@ zfRp9)FtJy(BDK?X)`WdmLhwQ`{CO}M2C-Lt>v>)FncfK8`fnRFUsmT7wglBhKP<7K zcoSl#rR&5rU*zf>cvuX!FE0jW_&883meaP~b;YXc{ZyVGt>F+@s{Z?mU{P~8=`)j9 z1NZdylOBlGn(HallyOjpcp1VwBtibJsKS^8Hp`&WgjFvO0+@k?ni17FrO_ zo3sA}fvn>VNbD$(H+-m6L1)V+(s(zb+Wrdx%QM$Qx#a$>jb0zaQxHV&d)+L{*Lmt9 zFn(zTpGXZPxA&^asX|L759H5>BFWm{o5!b3cPKfkNPVdRk0>s@$0S2 zsq=f)16v{~Jx$evKdROGk}Fy*4>CWRT+uyh6f{v6J#>uS!BIgFTUfr-_{F7-RM-m& zK@~SCXUl>=smyT&en^h@U3^k=gJV%`nSqX{U!ABwq}yDKfza20?eaVo>Z0rO0)9?K z38wbFQI(`!W6;W*zho{jCH#E-#9O1%TNc!47F8X5*z(o73>#)ozs`wzjQomH660(T zrNP=l^?!fi3dQF`n`Y?g5CU}eH$ID?tM)}HTGzhNNTE=Imf_5}k}PRq;Qw>D-T=AO z>w(HeM)fC(X>}?ffU-f|2mO1W%h&d{XPR&&72jf%7Ee2!7aj0shy|N*s8nmRh%~OS z`KxWzjvJ`VLS-)EuCQKw5_!#iazZFV)dT4eWto z&o8@gu<=AQAisfU&`BSvS8hOgq>bW}LvVdj2w8NDVu<>~4z^>cTPX?1B>d$N-m9r! zcw2mgAYpyf+g!P$RW@EU_5It8nA z*1Tu4@^=49LshLS3lRR=I(h*hU7%7-hJmAM0lnaCpC1R#0w!Uq`u3J$JA~Vv91FEE z8;HhF-SAV5+Y1dd)`T*q08?m?RJU+H;-f*x~G(9mD}T#bFT@gevo@jCWB^bqJZ7zegC zL;qw4LeGGSyf+ks#|}a|l|h$=9mSNmWv##6NUHvTy~qF`nl7(FEOZ;bkbLU4O(i}o zWav^#f}>k=Y25vl%%TcW&%jEi8$K8_8(Lx-+#|B53KjB(A3)xg2j$y;tOaiC$i(#wuXx|L=(Dj6zdG z48EkBEt^#PX4W-gyzD#=GhXdKfFeGIq`a8ZbZ!hA)m{*Aubc}NfOtyX|DB+%=KtBh z!?Mhl#cJ(2MMZECV#z;PjO&~oYZ4x23=S84FM3qmXzu?0{*te1zMz@nSuP2R#eJ33 zt;WYtl;5#Wf5S*XewANM3b6aXY!s>8*ER+e-v?cDD7V_6qSvpA69TRa2$~rfyDruH zk+Z!$4IGmfp*(dm1!EtvkH8^u`?bYF5AAh=oX=`Pkt6t_YMX8RQvprwQ2a-sXY-A% zKRf;?j#5)6cxq=N|2;crj8m2vA~&HVhy)?q!7qlGaF&}4)qlX8;TQ08y1XGo^6enz zJl&I6?;@ki2HF!DnRSj}F5$i>I&J93Ka1T^$}+%CC(LCtZxu!5{dhj0jC+kDbiwfJW+Iwm9DkJb8YRIH*y_Ndss`w4EW1uooVb}(1NSM1 zJJ(cSQ%z`!a2CCWG>%Ufb-sb$2;r;(Y#+U3FCtq99~SvZCrNZh9?FA<3Ln;77=Wpz z&o=ng?g9kwdAQk+D1nz6g_ev<4*b<%4nlWxy?-6ep?MVk#E**U1bDNoqC6>ilFqGB zOa12eh3iQ919q&(;HLZtXZN5^NDw$sg8UIx0Z)ec-TO;_z52()u{_mSYL&oq6^y$N z{iK0(FkU@*JurCud$SR2{AzDtdXII7>iv6h6hb0Ol0`B8aA-cN_CH{r`~8PN?zlvu z<&COC{zwyA?DwYa+sZ=<(bMJvb7Pf-ebL2>+6d-^WvK(nx_&Qba7nEW+}aog#$2FP zb#NEPednh!`qn5g3h}|c|B1f&w9l06R0vl=AkAvKQy!o}5L7>%a(y;RCsf(oFMhZ_ zRBg*W<iQ;+V%!G&7z0#x(kc+Ygruv*3z8gIE3xXNuLLY zLQ;Tm)a45t`*HC7bp+2`7_hWQMxgFlcxN}qNgQb9 zfvFoZaQ_(%zZC(N=o3aSkV?kDdlGxnKlP+qZZLpRz-u0hQE(p}iFvOvN>|9hfa8+d zDCY4jOBO`zBeR zOv0P`cKG+^CttdfM0P(#tb)w@kuuW@ECyY6BU9LDQ5au!(`Ct$Vu%hMmfPtqWSvlhZbQyuE^HG6=~#v0K$C9@d#2kVSpjb zZ;*{ny;q$m8|vImg8kc)MI(JXD##_zsYGe{R5}(5s<-x}i`2Fg>--)>%vSMBquM## zoR5(}{Nc0z#{$p+Q+&m0wvB!&2+Yg=tE|!jy-Q325@BHsY&E*O8CA*}UVv0c9lFEY zqH14R2`<1bkx+tCl-&Se;n4fmL3_N z92veRrT#Qo?g!UA`O6uzfC>UcQLO-;5rn2TpYDyu0^Y5U8Ui&DKmp`gp14>-Q&5)w zk7(*bmD=FTS2sTAtDR*!5zpc|Am{P+bh6xo0b-i~#=4CpPi2hb14-dOJz%z9)bU-? zZooJH_d+$~oyBF&lCvbwdH2)Z<~l9FBtc$s3u;G6I1czTN<)OGG=H|r1h(<2+b@oK zLHz(XHTlFiP!kL@w-`m1%%tb4-XCK1&O67-Q{sCS`F_++E0M0fI9Zz~XvF^J2Z;8= z(rytMysc=*Of?r2pdm-o)3ew|-8j|c4{>VOB^=GE0Un4`i#*J=5#!H^Q(JH3UGOp5 zhX|}j3G4$zg+4h3Q6Z;<@4RKiqUT5-kh`<(gij7&FEm6vLyA0uc|8);c>GRtV3xO= za1&m1`8DbGj)(0qHPwR~?0A@=5x)lU&XV{=g3C#XxBZM=lZ{R9MiD3&QH0TjQmELDwV{dGD!Hx6MliFo`slYF5_{ zL|UnU#BA*Hew-LW$928E;_Vd~chze5sVlv20^uoL(oas4d~kTVC0#eAYVenw?(Izs*otIYe2 zGnBX~)T+kbTvmfno049F^zm$8wU>BJ;Es0}BvjqACpCiB0m-uq#^(N(Zgem`nR@}_ zNBeoXG67*!1Mc!H5KydrcWDm&fC0NL`(OX84T1=Iy9~j#E4i)s-D@wf91uVl>T>0r zhd?L0+FL3-uRe5uxP}Lz7Xp;hw%&=xOiUO87B_*HvV&|KCPn-O=BDm2&Yms^hLtJ0C|G)1^bO zrD>aCstWH95%YxZBHHFy=IJ)CO_)L(bki8by+UvN99kZDu+8W%0-@rLm(F?C)3cto zi6}=PNm|R0M#2Ad3v}nXq4&G9hn^!x1BEcE9O;h>~92?Top~~nw+_tGH zXW@JzHsJdBw+D+u*%rIW&`uc$NDlm?Oh>$$u;$q6U{Pr}D$x~;`T@7y%z>4sib~Jk zdrKKu1#3<%fM{QNcoB8_kbaKXo(j7pyRoqH51KN*Q0s}QmB;6woE7_4TxzPG=e&Rv zv+J;rAQIp_6*tqSS*Z5k7A=Vmy4i?axpX$D`VZ&D)I=>7IQY71R0n5NLnz!?)l9Pt zB91?J{3`z`*x2=bbkb5ak+ky=7@U%r1}z*z_CpARA%M$I+B5>oio&LkAcr;C8e3__Ne|5hz5azXoH%k79Mc1feD5uK%&ALnla-6BUSGC%d*byvhto z#xrya$wvqVQQMbTVHJC|98>J3K%rXN;&C8c>A&4*MpBtDY}dQ8gN`bd>YiSl8Y&@$UG`$%3q?FT4u0^zaP7 z`c`p8NZk}`OsM=<;5(Gh@3w6y0l&qJ{beQ{tUKa3hJUz1+=s$XfEqDzTRckM-o|jGE9*CL{ zuby6@hL4+Br<_@BVGl-*#QkOJ_D>ZDMxNMP=lO|eyXx3w0Q8|WI#TeKt-8yiR}#yo z3ck{mS87Io?bZxLyYWgKb@4cdcN9&Nmd?3v2RW6D8xjC_Ye^&dU3Omq_x0`P+G6U$ zRghKj1waUIosLbf@f8s1S#U6aU~e-Sefx|dWo+{_tJk9yg(|&$&WZJ;?tvtjK$jtf zunJ5kuDgR-LUMIz$BC;a#&yVp0gIIbR3p(@cnh z&PJVTIk(oYlFy!`m+N(!D43C&E}}yh(M_kW93M3S`h2uLNn_ptrNLz($S$^ppF*P{ zE*E%Ea(uy!)!LVg+BK5(<5fwGNYG_U9Df7>E(XDo+cW}=+$9&#sBF!qaooa9Zj3@& zM%`*qeh7LGA02t#MjeYo-Fyo4;j^mFXP^RR^UUY^&g(r298igIeG%D!d; z52Lb_MC@N>|2m{EN*G2p>H{9HQBf(m6aESQ?UJM?K7gUw z0%*y=9I;-j^emnPpiwf!*uLO(OrpnOG7!Iho`&BQRE2f4vvi|IM|<~pZcsB8SM$Bk zoKa;2NyyhmAnx_9x*U0^sdfH@#W8H^YtI-6WBboWI1%uozJB$M1r@YM5hM|4wHhl4 z$mp06j4B$nOsz2`N*^>8=KSOGxMVH<+1R%k#m>`lI1W6p50^|Fvr&MNb=^v=A zqh6_XZ#F-~$uOvMr1w`v>cgtS2!`lgb>KP1vvK;#^C3It=cz#!9v?0CKzz0_W#40NsHxvv2qU;MSkd=Mh~oq)D1A!HFz@)v8j&bIV>Bs8I>B6aEKAa|2=rc*+=zownS z0S6pbnEyD|lpBtSuriU6a!^&?ToY0UH<)F%a48x1`TsR`S)xE&_aFdadtQWMvZzfr zwAH#wD(MT2;E2d>wj{wmjJ1lR1K48IIE76URF+VOzL#z#GzGu}nu3$i?xn+EtENsp zXHn2hyT}R?a|xm*_-UL3mZZbyo2A7BRJsR(fITF_NFLStq zv3_WJsQ5`?c=5oS8PY-{K(oCefxLj|o7H4%czrwD^In>XX+jC_BbAS%y_4f3?mBQp z!oWs%HI_4xJ^b15Y7p^r5?=MxYfa=91ay`2+R!pA4Up@W=xuy;(;o_bYVKDm65tRH z{eDJ+EtxgC_^!n}RsR<&ceZhM*J9F&qa@))fN&6mzvw97AQaDZKhs^4@q6wFnpxw2 zXJYSonhJVEUDQ{cXTssc&~TvLo4^k9%r*cIhvJ{Q+K86tRz!@?ffYs#;YMh(y;EtcOD@mD9_W@?yV{^Qx#zv!=DPY+sP11DP?{VoW?A>eRj4(^HDX{75Be|XV} z$C5$6)^TgFK94c5rq#>mH ze~(6t3_>RQO0p+-SHKs-5t4^I;4lo+!#Bm?8uIlnuSdvx2!q7(2M8IV!MNQovB`c- zUa6{lsVHDc!W5CaJoR~qW%ye4L^T`6NjT22+5^<$eh z4M@Y)=|uI%8AmNCPlL(>^^($;^cODW5}qT{QR>(x?f2>_pK}J{xN#eutFOfg6jFCP37^z`Qz7O)s z*^-7z;ay>bWba$J5f(8T(GaVAz$5U^G)oqL!>nD1HXCy4sL}^zD-Gn11iS(d5H9H= zHF2f6G=0nE(xB3Pfp)ZSn;NW%QQHoKvHuN+A}T${lTf4ovTI@seZ! z{O?Rf8f}NO^3d2y_&9npSVTHy35@4KdK3qo;L3BPm(hQc|7qRwB>ng3p2$ zwZTAe*ZbR}VB4>q+ckHTnb5UnUAY%U?tKs+4xzhTzWN7ycot|aN&R*Vt+kUJAv{GL zH%=o8#YcRr16Mnw+2I+L<;sY9iqJzkq4hd-D&ursq|*OviJI>knt0|I7M&p9xg!58 z%|DG;V@XSzEf0=#yc&(!(5+_EgX2ERI>sOOj2wD!+p+E#{-n~;04!S3O{(w0rkO-; z#-)CRuv@Nw1YMABj)RhCG<8Wgp$zTv_ALGtGqhfv$ybn;fucmEaOa5_KzI6t7@eBTE8cN zJ?yCmDzQryt_!G!Wjg#*gb36t32lZcOegg%D8k$@59l-Zi>sl(CAFB~xE%h>>|6Rw zK5h=u&~PwUAB9P?A80ET^kVR1vedD@$&B`6ozLsExP(&fde zVFukoRn+16iH7X>L*Z&OY_gz@QN&&9_qC2$JBI`R0L>D`tuNCp!kY`HS_y%%?ZF>wJ$fm5fek0Nn@h}poY5{F=T`hG=Po8Tf9xX8M!b7%NDBmWbalp?0gMxN}R zeLkPa8K}_flFEZvc*zWSUBSi%o#B!XV+d?ljt!mxqs(hC+4xN@Qz8gMK0Bdj`E=(Q zs_&Mjme~?7?pxfG29q~V?_&UTb<2gs!7C$d0Pi4ELZ8HKAeJ(GrM;A#lO4OyQ)Y$; zKO#v$%r-KEs{;X(bnYAL#5f=HMI(#n#&j*|A!hEw@OLaKPk2BX>K*X_XV&2A=fQHpQMx7xtj z5|-i9Z{;#(J!f^HS!fQDhD59*-;dCDm7=kv;ZN-w`vcBO{wBNG1YVxZKKOHb~I2~&L4~APQ zSdm}-U$!}@pu1pI39PP^D-snmD6I@eN6)QwVpMxgjVsoiufFWE=$$?FWls-LW*hL``HD zw(Q*;hu~wq(UO(RJtu5;ws}CqedyJU5LJe1iOut~N^6Om{UipJXu?qpTHhnF z!!J|(V*(UT?En!8Y?eS)8ligaerl~oaDet4LIszk#{es-#FNz1Xt^5~Ef_LmJKnhQ zjeL66QvIzn>&fZ`;Tl7r()zy^UV*?gXt7B|1Z5*IIytOL9bpemcZV)i54J%~BdiL8 zm!nt}yOQo0vrcvRs*1WcP7kG%co;Ww2`7Wt1O-S9(iP+_fm98Unz*F;_dt@dB@qil zJCzV~9=B~l&t-&Ek$XJbHU5m#70MCdm0wA#0d*Uh1dfT9we9sf3BC`_ZR-B7SDuj-SLB^zV4?+8-_mBT#EZ+D)o5w+e8qp9-mFGXv3$U0 z(4?tJiyf#ps_i>Myx;^EsBd-ex-G5|zrHOMeXLF0zV2})*<|6z9W9as_E z{HK)`lsyqs=R0PDXWX+L8xG|pu7rWpwvtwA;0sy!i8h`_a~T<4to7jP-%9 zoU>C+d^T03hQ@=%D*ek1bJfJ$*disnoOy^f9sV+;vrm{oU#GS&QSlF&>H!7br6MV( zfeBQL9tnb3T*TOX5|~ge>EcS%!*sa0t>;)E>-1wSqleY#k76mcJ6>y# z%*}EgcYEY#udJkw4=88D0s!Hs{dr2BWPSDHK-J#%9!#h3K4lRZs;Cc{B8_8#kHyrs z2MSBnn%7EvSndgk=tC*@OI17Y^`c_clU#EFb{l(NChl!T{u(uz$&Y;h!=^95^XGS3 z&!<&v6QCIMxMUZMtbMu3XZ5h%`(~(pAGX!0M=H6W+*b$H#IH+Ai9?xBT1V^Y-3+Nv zf1Zxk5gmNv5rF)KnSj^#5u+&}g=M7P2C5_Vh8Yoo`caS2M;|L^K^c~nE=*Fs9vG&`6v<(0u%g+jY+Fg39J~F7AoW_9DoiZ>MZn^ERIp+nP~loKR@x-} zX{n)f28IEharjrOUGP)@&r-NUIuj9uJ}BkL;m0MAeE-yb-nOVx>;fCySe?%V>q?I5 z-{WQKj~6;Y+TG9q$pMgSpIrE>|1Y==8|uC*A_b_HbOEkoDqTN|M3|r~Fsiu)$6YDV z84`cgF`tAuOx9!2*XK;|28ij_s^0sJk=lEvzc4G7rO!lc!uGb`sn2dp23gp1r!v@*`Gu2f<}BV6WAobxLMyfO>0080ie!Ob8*#EzfMfK9XNFZRS~nrYwV z<=ISoH+JEHA66Y1`et;|#+7mMVxe&N*7#d?go%KVy-jBoH;kD244A%!IJ}baB^8gR|)XsZi zbyma{&>p$RQ>?~cs`VZXAz}hf)Q3xFtfuuRLfboceH>NL`ZIZD%kygX_X=9VroquB zflqG4%U}fHA_l#*z%KI(iyzQqpUo^!!TxpAoM_r`7~2JU9QTBfy#2$p(Kk_#-v-POLV_ znB+E=8_OmO5BS8Nz>0Hu8BBX2Ui}Wu<1=7^hAQf$^?<Mi=0A@0@wVVAD5ClaSl$}2WHBFVATav-zf@ABW_-8JrhU_=T7U$;4b6%p zgGw$*RFwx}yL9EM)$Z(0Z^>VTNd{xV-&7{m#06(zz~AuHWqH!tVF2Wj5Ez#X?^{Ue z7jqj!bA5ZF2s&*(F>!RgxP)9%LvQ2wNWxQy{pth1ts;S#TDc&2vODBJ zy0xU71C1Tb^!KpUj)Ew0wfXnyq%ER?;|wzM8-p=buosG=RXy{5hJ>e}QqD;WdPgxP;wCAK_|d zWHHb;nAy>m4KvN`&<5+%o-DxQH{dO9gceO^b=zE5rIBy4zNx%tM6o?G2vg24{HDAD zQ;HwA=1nzv4y+f(Kfeh|0PBJal^9M%_IiU=*>_J(V92LcdSIEfp~_NYC(V5hOr-#G zKCg;)J=L0d=Uqjj?#?y4)g$Yhiw$44?bCtzrV>jwv;a4yFS1%t)YKWx5MM&?Mhz`r zbDyz7ZQhVrqtg3k1l8odWsAOlMl(0ntg}iJ>fltnqa@_R%C2fce1X&37O1{c=l(a$ zFA_1;_nD${HPnK>1oF*Oe99=^yU{AhI+p}KG@v0|H%qW_8exDL;VPXn^evC*S(4Zb zXF3rj2Az_@HD5<#BcF|}&Q4xtorT)3_c)}&K}PyOHMaWjlsYK)kkzb~@ZY(nB%;Pv zd-7G^%fY&%gH^ZpbnNpvE1DLlp<4o{z(IgBTYcx+M!$OGloAjGQwZa9V>evfU(y@& zt2=i$7pl*^P*$Tvu?H$6d&|Sh`FTwY;FG7EyEojd#_nvX%3?xIx)NV^3-roMsv_#$ z-Bk7Y;l%VFi3JxfkgBTQht{1)sQtgy*m$fgJ9(qkX@Z`@W?of@Pj`Mf+}gtJ z3GF3KyuJV0xH^0r?WVWIU{|a5Z<%|J+VtCaN~LeMiUIfiealy3L%pF&rQZycWXEr| zR+=HulMb7}h6uPrh`?&S-OLk$b?FaHu2%ddznGX5O{~K->^Ue6EL;jNhG-*nwLb65 z(30?ZI$C#RN;e)ed|y;&4^;%!+3!@x)a2!uCJ&!^TtLY+W~E;OZ3JG;S8V~iOnvsH z(mbyPNWud6<8-n5>TM+fHF`&Ro;rAHOIm%WFVvvM9);)sTkkay)8xUx>8kIKMS!30 z=1(c{hpIOSn`l?rY0tn9JnHa83u5Xa=$u*t;&GM#L`xZg-V&8rUgcG-^I<=->dRI# zhuWt14xfcs5n}M(lRQm%!WEE#!h_BA>k(jW0UF53c3c`>=W>4AZ-Rt0y18W_J;e47><``oS%W?Im@oe6XHD06s_tNouc zV^yx86g?pH2jBEhT|#&pF@pe%J?ZA`*j<6YnM4`pV|nF+bbCm946_qE7`9{qBvW_# zfr?IVu^r+TknklyjRNOnegtxwuY(eM-%X3II|5rb0!{S=ege>*x*@+r?fGGSU8sjM zXW$3hjY1+vZ+{-|-LESACNO5(8-cSu+N$0I$Wi;RjzWJ7UVQmGaN#vF_4W)bn45pq z1T%QVbfhjWHje=cJ*Q`>6K}~6s+qs`21n9|ysDriFBy;n%!E9jV@Gizl&~$>hy2*A zRuwy9A@$*gMcdTYJyiwjxieC!PfY8Jp;A(#P0C?o%L*hG0%K%qxXR#rztIMOJ)vT* zHs~ON;t_W)E6n8=)Mzu%$>yihwO+f1Pc9K&|>*eq`?kZ>iZXNl;X4Ck-AN zyB3t%)LlT0H#OAz@~N39ozWtIn(p76T&=`+#PvtF@^go-8EzWdJj1n7KG{V-jeAXGvGg5L+xr~DZP z@UlcaAEQRoMNb*8k?nZTk|vRQS~Uu$yFl-n5mK4o#v6THIurZh-{LDC?7m;(loNV@ z7l-S^ps3*-Uu8xira{cLuD!o#FC59-yO1#444U|#r%aD3JMklcWUH^lLP>Syd7*&% z@86)S)6XMPFd&J536oILPbV=e#zuo1qiSlvYF0n_ak}Um>Ft&Wq?b>Xe7Ut29EMos>H?mdCI0X6=rCuZdE5M(iU8qqIRf5VJ=gsOtg$t6yQ z>VGo?chsllLGcr!1|l#1Y8-=wzWj*=iP$}XO>CwPG}c8)r@R2`aQxCW+g<8>;<3f6 zHP%r*!$k$zp%<(#X^P?nn%^_M5PyxqOV;lo%~pM1t1YIhn@ME$29)@J*Zh~jv`~dX zc2k!eIrxHI6JjV6N#cV}qohHFyZwtx8dS=m!(ppQ9~Q!g7T8ge`3)RJKUfw@KM%x? z5P{Cx(z>ktr_~SX!qPljrRKHNSps`4YO{o#e(c=xJP)KxYU5?8*xvK)!F}&E z|05_!Sd28Zmf&Z;WR^rbLteMVxItiz4*?230zdRYA|aQ)jOU@$S(!gW4c(M(p_#!| zqy_HRZ+`~l4betN@YZ@mT{4dKmYW6NfeRW+S{hEG6>MS%V5z7{6^IcmaW;OWjD^^~ zUC&ccI~~RB?9}hZz_i|LmcR-E`21)t-q3QHq~W87xQR~1Z<+1}WJyfxr*Hf8ackAS z%SvjCuzi8Y9BeZ>UEf>vy`TZgVIQ>9>+w>Q7A&}P?#2^hhy>fH=_VA3{E|V^x|IyZ z#mR=?0AF$0yXWF^51b}LuVUhadFNz%{?>esNsG8$3a$=mh`!M2oKyQ9J49|pXBL`| z;*2w)^M!jd5F?S3;?Wf59Vz!xN){X2sZ@wsb>KqA`tdvxZRDxVWA*j4@5L;-gi3(Y zQTrcEeKR}hco)Om?r}e&)4Jou-Ad%hmVGAc)UR-gnN)iUkx0meyJewPG5wl60#4IK zu!DLCAm+ia=^U^(tc&Lyy`t+P=z2nXa*Y@ccxXydF+e{N70*XxC#jY+?JYiWwi