diff --git a/parser/CMakeLists.txt b/parser/CMakeLists.txt index d9d84fd7807ee5b764bc5f7ea4e9506bb69bdf0f..47a345c0af23d32c30721f7257c3d5562e34114c 100644 --- a/parser/CMakeLists.txt +++ b/parser/CMakeLists.txt @@ -3,17 +3,24 @@ cmake_minimum_required (VERSION 3.8) add_library (verilog_parser STATIC "verilog_attrspec.c" + "verilog_attrspec.h" "verilog_keyword.c" + "verilog_keyword.h" "verilog_module.c" "verilog_module.h" "verilog_parser.h" "verilog_parser.c" "verilog_parsetree.c" "verilog_parsetree.h" + "verilog_parameter.c" + "verilog_parameter.h" + "verilog_ptrlistitem.c" + "verilog_ptrlistitem.h" "verilog_root.c" "verilog_root.h" "verilog_scanner.c" - "verilog_keyword.h") + "verilog_keyword.h" + ) include_directories("../../lcom/include") include_directories("../hdl4secell/include") diff --git a/parser/verilog_parameter.c b/parser/verilog_parameter.c index 139c2fc992250bdb0ea16bd7c785f8a9e1db146b..6881c4ab498e08f7380f4640cf317e1e2fbc9596 100644 --- a/parser/verilog_parameter.c +++ b/parser/verilog_parameter.c @@ -30,7 +30,7 @@ */ /* -* verilog_attrspec.c +* verilog_parameter.c 修改记录: 202105311542: rxh, initial version */ @@ -42,62 +42,69 @@ #include "dlist.h" #include "verilog_parsetree.h" #define IMPLEMENT_GUID -#include "verilog_attrspec.h" +#include "verilog_parameter.h" #undef IMPLEMENT_GUID -typedef struct _sAttrSpec { +typedef struct _sParameter { OBJECT_HEADER INTERFACE_DECLARE(IVerilogNode) VERILOGNODE_VARDECLARE DLIST_VARDECLARE - const char* pname; - IVerilogNode ** expr; -}sAttrSpec; - -OBJECT_FUNCDECLARE(attrspec, CLSID_VERILOG_ATTRSPEC); - -VERILOGNODE_FUNCDECLARE(attrspec, CLSID_VERILOG_ATTRSPEC, sAttrSpec); -DLIST_FUNCIMPL(attrspec, CLSID_VERILOG_ATTRSPEC, sAttrSpec); -OBJECT_FUNCIMPL(attrspec, sAttrSpec, CLSID_VERILOG_ATTRSPEC); - -QUERYINTERFACE_BEGIN(attrspec, CLSID_VERILOG_ATTRSPEC) -QUERYINTERFACE_ITEM(IID_VERILOG_NODE, IVerilogNode, sAttrSpec) -QUERYINTERFACE_ITEM(IID_DLIST, IDList, sAttrSpec) + int param_type; + int param_data_type; + int param_issigned; + HOBJECT param_range_msb; /* expression */ + HOBJECT param_range_lsb; /* expression */ + const char* name; + HOBJECT expr; +}sParameter; + +OBJECT_FUNCDECLARE(parameter, CLSID_VERILOG_PARAMETER); + +VERILOGNODE_FUNCDECLARE(parameter, CLSID_VERILOG_PARAMETER, sParameter); +DLIST_FUNCIMPL(parameter, CLSID_VERILOG_PARAMETER, sParameter); +OBJECT_FUNCIMPL(parameter, sParameter, CLSID_VERILOG_PARAMETER); + +QUERYINTERFACE_BEGIN(parameter, CLSID_VERILOG_PARAMETER) +QUERYINTERFACE_ITEM(IID_VERILOG_NODE, IVerilogNode, sParameter) +QUERYINTERFACE_ITEM(IID_DLIST, IDList, sParameter) QUERYINTERFACE_END -static const char *attrspecModuleInfo() +static const char *parameterModuleInfo() { - return "1.0.0-20210428.0952 Verilog Attribute Spec "; + return "1.0.0-20210428.0952 Verilog Parameter "; } -static int attrspecCreate(const PARAMITEM * pParams, int paramcount, HOBJECT * pObject) +static int parameterCreate(const PARAMITEM * pParams, int paramcount, HOBJECT * pObject) { - sAttrSpec * pobj; - pobj = (sAttrSpec *)malloc(sizeof(sAttrSpec)); + sParameter * pobj; + pobj = (sParameter *)malloc(sizeof(sParameter)); if (pobj == NULL) return -1; - memset(pobj, 0, sizeof(sAttrSpec)); + memset(pobj, 0, sizeof(sParameter)); *pObject = 0; - DLIST_VARINIT(pobj, attrspec); - VERILOGNODE_VARINIT(pobj, CLSID_VERILOG_ATTRSPEC); - INTERFACE_INIT(IVerilogNode, pobj, attrspec, verilognode); + DLIST_VARINIT(pobj, parameter); + VERILOGNODE_VARINIT(pobj, CLSID_VERILOG_PARAMETER); + INTERFACE_INIT(IVerilogNode, pobj, parameter, verilognode); /*返回生成的对象*/ - OBJECT_RETURN_GEN(attrspec, pobj, pObject, CLSID_VERILOG_ATTRSPEC); + OBJECT_RETURN_GEN(parameter, pobj, pObject, CLSID_VERILOG_PARAMETER); return EIID_OK; } -static void attrspecDestroy(HOBJECT object) +static void parameterDestroy(HOBJECT object) { - sAttrSpec * pobj; - pobj = (sAttrSpec *)objectThis(object); - if (pobj->pname) - free(pobj->pname); + sParameter * pobj; + pobj = (sParameter *)objectThis(object); + if (pobj->name) + free(pobj->name); objectRelease(pobj->expr); + objectRelease(pobj->param_range_msb); + objectRelease(pobj->param_range_lsb); free(pobj); } @@ -109,31 +116,44 @@ static void attrspecDestroy(HOBJECT object) 0 -- 对象是无效的 1 -- 对象是有效的 */ -static int attrspecValid(HOBJECT object) +static int parameterValid(HOBJECT object) { return 1; } -static int attrspec_verilognode_dump(HOBJECT object, FILE * pFile, int opt) +static int parameter_verilognode_dump(HOBJECT object, FILE * pFile, int opt) { - sAttrSpec * pobj; - pobj = (sAttrSpec *)objectThis(object); + sParameter * pobj; + pobj = (sParameter *)objectThis(object); return 0; } -HOBJECT verilogparseCreateAttrSpec(const char* name, HOBJECT constexpression) +HOBJECT verilogparseCreateParameter( + int param_type, + int param_data_type, + int param_issigned, + HOBJECT param_range_msb, /* expression */ + HOBJECT param_range_lsb, /* expression */ + const char* name, + HOBJECT constexpression +) { - HOBJECT attrspec = NULL; - sAttrSpec * pobj; - A_u_t_o_registor_attrspec(); - objectCreate(CLSID_VERILOG_ATTRSPEC, NULL, 0, &attrspec); - if (attrspec == NULL) + HOBJECT parameter = NULL; + sParameter * pobj; + A_u_t_o_registor_parameter(); + objectCreate(CLSID_VERILOG_PARAMETER, NULL, 0, ¶meter); + if (parameter == NULL) return NULL; - pobj = (sAttrSpec *)objectThis(attrspec); - pobj->pname = strdup(name); - objectQueryInterface(constexpression, IID_VERILOG_NODE, (void**)&pobj->expr); - return attrspec; + pobj = (sParameter *)objectThis(parameter); + pobj->param_type = param_type; + pobj->param_data_type = param_data_type; + pobj->param_issigned = param_issigned; + pobj->param_range_msb = param_range_msb; + pobj->param_range_lsb = param_range_lsb; + pobj->name = name; + pobj->expr = constexpression; + return parameter; } diff --git a/parser/verilog_parser.c b/parser/verilog_parser.c index 6978e84fc1c8655a0449aba67ce8fad73e1db113..1e1ed0cb567977a4cfbd10012354299b0e707e7a 100644 --- a/parser/verilog_parser.c +++ b/parser/verilog_parser.c @@ -1184,107 +1184,107 @@ static const yytype_uint8 yytranslate[] = /* YYRLINE[YYN] -- Source line where rule number YYN was defined. */ static const yytype_int16 yyrline[] = { - 0, 158, 158, 159, 160, 166, 167, 171, 172, 173, - 177, 178, 182, 183, 186, 189, 192, 198, 200, 205, - 207, 209, 214, 216, 218, 223, 225, 227, 232, 245, - 260, 262, 272, 275, 281, 284, 290, 292, 297, 300, - 304, 311, 314, 320, 323, 327, 334, 337, 343, 346, - 350, 357, 359, 361, 366, 368, 370, 379, 381, 386, - 388, 390, 392, 394, 396, 398, 400, 402, 404, 406, - 411, 413, 415, 417, 419, 421, 423, 425, 427, 429, - 434, 436, 438, 440, 442, 447, 455, 458, 463, 468, - 470, 472, 477, 479, 484, 486, 488, 490, 492, 497, - 502, 507, 509, 514, 516, 521, 523, 528, 530, 532, - 534, 545, 547, 552, 554, 559, 561, 566, 568, 573, - 578, 580, 582, 584, 593, 595, 600, 606, 612, 615, - 618, 627, 631, 635, 637, 642, 644, 649, 651, 656, - 658, 663, 665, 670, 672, 674, 679, 682, 685, 688, - 691, 695, 697, 700, 703, 709, 714, 719, 725, 734, - 736, 738, 740, 742, 744, 746, 748, 750, 752, 754, - 759, 761, 766, 768, 770, 775, 777, 781, 783, 792, - 794, 796, 798, 800, 802, 806, 808, 810, 812, 817, - 819, 821, 823, 828, 830, 832, 841, 843, 845, 847, - 852, 853, 855, 860, 862, 864, 872, 874, 879, 881, - 886, 888, 893, 895, 900, 902, 904, 909, 911, 916, - 918, 923, 925, 931, 933, 938, 940, 946, 948, 953, - 955, 960, 962, 967, 969, 978, 983, 988, 993, 995, - 1009, 1011, 1014, 1018, 1026, 1028, 1030, 1033, 1040, 1045, - 1050, 1058, 1062, 1070, 1072, 1077, 1079, 1084, 1086, 1091, - 1093, 1095, 1100, 1102, 1107, 1112, 1120, 1121, 1126, 1128, - 1133, 1135, 1137, 1139, 1141, 1149, 1151, 1153, 1158, 1160, - 1165, 1170, 1178, 1180, 1182, 1184, 1189, 1191, 1196, 1198, - 1200, 1205, 1207, 1212, 1214, 1219, 1221, 1226, 1228, 1233, - 1235, 1237, 1239, 1247, 1249, 1251, 1253, 1255, 1257, 1259, - 1261, 1266, 1268, 1273, 1275, 1280, 1285, 1295, 1297, 1302, - 1304, 1309, 1311, 1316, 1318, 1323, 1325, 1330, 1332, 1337, - 1339, 1344, 1346, 1351, 1353, 1358, 1360, 1365, 1367, 1372, - 1374, 1376, 1378, 1380, 1382, 1384, 1386, 1388, 1393, 1395, - 1401, 1403, 1408, 1410, 1415, 1420, 1425, 1430, 1435, 1440, - 1445, 1450, 1455, 1464, 1466, 1468, 1473, 1475, 1477, 1485, - 1490, 1495, 1500, 1505, 1510, 1518, 1520, 1524, 1526, 1528, - 1530, 1535, 1537, 1539, 1541, 1546, 1548, 1550, 1552, 1554, - 1556, 1561, 1563, 1568, 1570, 1572, 1574, 1579, 1581, 1590, - 1592, 1597, 1599, 1604, 1609, 1614, 1616, 1621, 1623, 1628, - 1630, 1635, 1640, 1642, 1647, 1652, 1654, 1659, 1664, 1669, - 1671, 1676, 1678, 1684, 1686, 1691, 1693, 1698, 1703, 1712, - 1714, 1716, 1722, 1727, 1732, 1734, 1739, 1745, 1750, 1752, - 1754, 1756, 1761, 1766, 1768, 1773, 1775, 1780, 1782, 1788, - 1790, 1795, 1800, 1802, 1807, 1809, 1811, 1816, 1818, 1820, - 1825, 1827, 1829, 1834, 1836, 1846, 1848, 1853, 1858, 1869, - 1871, 1876, 1878, 1883, 1885, 1887, 1892, 1894, 1896, 1901, - 1906, 1915, 1917, 1922, 1924, 1929, 1934, 1939, 1941, 1946, - 1948, 1953, 1958, 1964, 1968, 1970, 1975, 1977, 1982, 1984, - 1986, 1991, 1996, 1998, 2003, 2008, 2010, 2016, 2018, 2020, - 2025, 2027, 2029, 2034, 2036, 2052, 2054, 2060, 2066, 2068, - 2074, 2076, 2081, 2090, 2095, 2097, 2102, 2110, 2115, 2120, - 2122, 2127, 2129, 2134, 2138, 2140, 2142, 2144, 2146, 2148, - 2153, 2161, 2163, 2165, 2170, 2172, 2174, 2179, 2181, 2186, - 2188, 2196, 2198, 2200, 2202, 2204, 2206, 2208, 2210, 2212, - 2214, 2216, 2218, 2220, 2222, 2227, 2229, 2234, 2242, 2244, - 2249, 2251, 2253, 2258, 2260, 2265, 2267, 2269, 2271, 2276, - 2278, 2280, 2285, 2290, 2292, 2294, 2296, 2298, 2303, 2305, - 2310, 2315, 2323, 2326, 2329, 2334, 2336, 2338, 2343, 2347, - 2356, 2358, 2363, 2365, 2367, 2372, 2377, 2379, 2384, 2386, - 2388, 2396, 2398, 2400, 2402, 2410, 2412, 2414, 2416, 2421, - 2423, 2428, 2430, 2439, 2441, 2443, 2448, 2453, 2455, 2457, - 2459, 2461, 2466, 2468, 2473, 2475, 2483, 2485, 2487, 2492, - 2494, 2499, 2501, 2506, 2511, 2516, 2518, 2523, 2525, 2533, - 2535, 2540, 2542, 2547, 2549, 2554, 2556, 2564, 2566, 2571, - 2573, 2575, 2577, 2580, 2588, 2593, 2598, 2603, 2608, 2613, - 2618, 2623, 2628, 2633, 2637, 2642, 2647, 2651, 2656, 2661, - 2666, 2671, 2673, 2678, 2680, 2685, 2687, 2691, 2697, 2703, - 2708, 2710, 2715, 2717, 2719, 2724, 2726, 2736, 2738, 2740, - 2742, 2744, 2746, 2748, 2750, 2752, 2754, 2756, 2758, 2763, - 2765, 2767, 2772, 2777, 2782, 2784, 2789, 2791, 2796, 2798, - 2803, 2805, 2810, 2812, 2817, 2820, 2824, 2829, 2835, 2842, - 2853, 2858, 2863, 2866, 2870, 2875, 2881, 2888, 2899, 2904, - 2907, 2911, 2916, 2925, 2927, 2932, 2934, 2939, 2941, 2946, - 2949, 2954, 2959, 2968, 2974, 2977, 2981, 2989, 2999, 3004, - 3009, 3014, 3016, 3021, 3023, 3028, 3033, 3038, 3043, 3048, - 3053, 3058, 3063, 3068, 3079, 3081, 3086, 3088, 3093, 3095, - 3100, 3102, 3104, 3109, 3111, 3116, 3118, 3120, 3125, 3130, - 3152, 3154, 3159, 3161, 3163, 3165, 3167, 3169, 3174, 3187, - 3192, 3194, 3199, 3204, 3209, 3211, 3217, 3222, 3227, 3235, - 3241, 3246, 3252, 3258, 3260, 3269, 3274, 3279, 3284, 3286, - 3288, 3290, 3295, 3297, 3302, 3304, 3306, 3308, 3313, 3318, - 3320, 3322, 3324, 3335, 3340, 3342, 3347, 3353, 3355, 3357, - 3360, 3365, 3367, 3372, 3377, 3379, 3381, 3383, 3388, 3396, - 3398, 3400, 3402, 3404, 3406, 3408, 3410, 3412, 3414, 3416, - 3421, 3423, 3425, 3427, 3429, 3431, 3433, 3438, 3440, 3442, - 3447, 3449, 3454, 3456, 3458, 3460, 3462, 3464, 3466, 3468, - 3476, 3478, 3480, 3485, 3487, 3492, 3494, 3499, 3501, 3506, - 3508, 3513, 3515, 3524, 3526, 3528, 3530, 3532, 3534, 3536, - 3538, 3540, 3542, 3547, 3549, 3551, 3553, 3555, 3557, 3559, - 3561, 3563, 3565, 3567, 3569, 3571, 3573, 3575, 3577, 3579, - 3581, 3583, 3585, 3587, 3589, 3591, 3593, 3598, 3600, 3602, - 3604, 3606, 3608, 3610, 3612, 3617, 3619, 3621, 3623, 3625, - 3627, 3629, 3631, 3680, 3685, 3687, 3689, 3691, 3693, 3695, - 3697, 3699, 3701, 3703, 3712, 3722, 3725, 3729, 3735, 3740, - 3744, 3751, 3755, 3763, 3785, 3790, 3795, 3800, 3805, 3810, - 3815, 3820, 3825, 3830, 3835, 3840, 3842, 3844, 3846, 3848, - 3853, 3858, 3863, 3868, 3873, 3878, 3881, 3887, 3892, 3897, - 3902, 3907, 3913, 3916, 3921, 3926, 3931, 3936, 3941, 3946, - 3951, 3963, 3969, 3974, 3978 + 0, 168, 168, 169, 170, 176, 177, 181, 182, 183, + 187, 188, 192, 193, 196, 199, 202, 208, 210, 215, + 217, 219, 224, 226, 228, 233, 235, 237, 242, 255, + 270, 272, 282, 285, 291, 294, 300, 302, 307, 310, + 314, 321, 324, 330, 333, 337, 344, 347, 353, 356, + 360, 367, 369, 371, 376, 378, 380, 389, 391, 396, + 398, 400, 402, 404, 406, 408, 410, 412, 414, 416, + 421, 423, 425, 427, 429, 431, 433, 435, 437, 439, + 444, 446, 448, 450, 452, 457, 465, 468, 473, 478, + 480, 482, 487, 489, 494, 496, 498, 500, 502, 507, + 512, 517, 519, 524, 526, 531, 533, 538, 540, 542, + 544, 555, 557, 562, 566, 572, 574, 579, 581, 604, + 609, 612, 615, 618, 628, 630, 635, 641, 647, 650, + 653, 662, 666, 670, 672, 677, 679, 684, 686, 691, + 693, 698, 700, 705, 707, 709, 714, 717, 720, 723, + 726, 730, 732, 735, 738, 744, 749, 754, 760, 769, + 771, 773, 775, 777, 779, 781, 783, 785, 787, 789, + 794, 796, 801, 803, 805, 810, 812, 816, 818, 827, + 829, 831, 833, 835, 837, 841, 843, 845, 847, 852, + 854, 856, 858, 863, 865, 867, 876, 878, 880, 882, + 887, 888, 890, 895, 897, 899, 907, 909, 914, 916, + 921, 923, 928, 930, 935, 937, 939, 944, 946, 951, + 953, 958, 962, 970, 972, 977, 979, 985, 987, 992, + 994, 999, 1001, 1006, 1008, 1017, 1022, 1027, 1033, 1035, + 1049, 1051, 1054, 1058, 1066, 1068, 1070, 1073, 1080, 1085, + 1090, 1098, 1102, 1112, 1114, 1119, 1121, 1126, 1128, 1133, + 1135, 1137, 1142, 1144, 1149, 1154, 1162, 1163, 1168, 1170, + 1175, 1177, 1179, 1181, 1183, 1191, 1193, 1195, 1200, 1202, + 1207, 1212, 1220, 1222, 1224, 1226, 1231, 1233, 1238, 1240, + 1242, 1247, 1249, 1254, 1256, 1261, 1263, 1268, 1270, 1275, + 1277, 1279, 1281, 1289, 1291, 1293, 1295, 1297, 1299, 1301, + 1303, 1308, 1310, 1315, 1317, 1322, 1327, 1337, 1339, 1344, + 1346, 1351, 1353, 1358, 1360, 1365, 1367, 1372, 1374, 1379, + 1381, 1386, 1388, 1393, 1395, 1400, 1402, 1407, 1409, 1414, + 1416, 1418, 1420, 1422, 1424, 1426, 1428, 1430, 1435, 1437, + 1443, 1445, 1450, 1452, 1457, 1462, 1467, 1472, 1477, 1482, + 1487, 1492, 1497, 1506, 1508, 1510, 1515, 1517, 1519, 1527, + 1532, 1537, 1542, 1547, 1552, 1560, 1562, 1566, 1568, 1570, + 1572, 1577, 1579, 1581, 1583, 1588, 1590, 1592, 1594, 1596, + 1598, 1603, 1605, 1610, 1612, 1614, 1616, 1621, 1623, 1632, + 1634, 1639, 1641, 1646, 1651, 1656, 1658, 1663, 1665, 1670, + 1672, 1677, 1682, 1684, 1689, 1694, 1696, 1701, 1706, 1711, + 1713, 1718, 1720, 1726, 1728, 1733, 1735, 1740, 1745, 1754, + 1756, 1758, 1764, 1769, 1774, 1776, 1781, 1787, 1792, 1794, + 1796, 1798, 1803, 1808, 1810, 1815, 1817, 1822, 1824, 1830, + 1832, 1837, 1842, 1844, 1849, 1851, 1853, 1858, 1860, 1862, + 1867, 1869, 1871, 1876, 1878, 1888, 1890, 1895, 1900, 1911, + 1913, 1918, 1920, 1925, 1927, 1929, 1934, 1936, 1938, 1943, + 1948, 1957, 1959, 1964, 1966, 1971, 1976, 1981, 1983, 1988, + 1990, 1995, 2000, 2006, 2010, 2012, 2017, 2019, 2024, 2026, + 2028, 2033, 2038, 2040, 2045, 2050, 2052, 2058, 2060, 2062, + 2067, 2069, 2071, 2076, 2078, 2094, 2096, 2102, 2108, 2110, + 2116, 2118, 2123, 2132, 2137, 2139, 2144, 2152, 2157, 2162, + 2164, 2169, 2171, 2176, 2180, 2182, 2184, 2186, 2188, 2190, + 2195, 2203, 2205, 2207, 2212, 2214, 2216, 2221, 2223, 2228, + 2230, 2238, 2240, 2242, 2244, 2246, 2248, 2250, 2252, 2254, + 2256, 2258, 2260, 2262, 2264, 2269, 2271, 2276, 2284, 2286, + 2291, 2293, 2295, 2300, 2302, 2307, 2309, 2311, 2313, 2318, + 2320, 2322, 2327, 2332, 2334, 2336, 2338, 2340, 2345, 2347, + 2352, 2357, 2365, 2368, 2371, 2376, 2378, 2380, 2385, 2389, + 2398, 2400, 2405, 2407, 2409, 2414, 2419, 2421, 2426, 2428, + 2430, 2438, 2440, 2442, 2444, 2452, 2454, 2456, 2458, 2463, + 2465, 2470, 2472, 2481, 2483, 2485, 2490, 2495, 2497, 2499, + 2501, 2503, 2508, 2510, 2515, 2517, 2525, 2527, 2529, 2534, + 2536, 2541, 2543, 2548, 2553, 2558, 2560, 2565, 2567, 2575, + 2577, 2582, 2584, 2589, 2591, 2596, 2598, 2606, 2608, 2613, + 2615, 2617, 2619, 2622, 2630, 2635, 2640, 2645, 2650, 2655, + 2660, 2665, 2670, 2675, 2679, 2684, 2689, 2693, 2698, 2703, + 2708, 2713, 2715, 2720, 2722, 2727, 2729, 2733, 2739, 2745, + 2750, 2752, 2757, 2759, 2761, 2766, 2768, 2778, 2780, 2782, + 2784, 2786, 2788, 2790, 2792, 2794, 2796, 2798, 2800, 2805, + 2807, 2809, 2814, 2819, 2824, 2826, 2831, 2833, 2838, 2840, + 2845, 2847, 2852, 2854, 2859, 2862, 2866, 2871, 2877, 2884, + 2895, 2900, 2905, 2908, 2912, 2917, 2923, 2930, 2941, 2946, + 2949, 2953, 2958, 2967, 2969, 2974, 2976, 2981, 2983, 2988, + 2991, 2996, 3001, 3010, 3016, 3019, 3023, 3031, 3041, 3046, + 3051, 3056, 3058, 3063, 3065, 3070, 3075, 3080, 3085, 3090, + 3095, 3100, 3105, 3110, 3121, 3123, 3128, 3130, 3135, 3137, + 3142, 3144, 3146, 3151, 3153, 3158, 3160, 3162, 3167, 3172, + 3194, 3196, 3201, 3203, 3205, 3207, 3209, 3211, 3216, 3229, + 3234, 3236, 3241, 3246, 3251, 3253, 3259, 3264, 3269, 3277, + 3283, 3288, 3294, 3300, 3302, 3311, 3316, 3321, 3326, 3328, + 3330, 3332, 3337, 3339, 3344, 3346, 3348, 3350, 3355, 3360, + 3362, 3364, 3366, 3377, 3383, 3385, 3390, 3396, 3398, 3400, + 3403, 3408, 3410, 3415, 3421, 3423, 3425, 3427, 3432, 3440, + 3442, 3444, 3446, 3448, 3450, 3452, 3454, 3456, 3458, 3460, + 3465, 3467, 3469, 3471, 3473, 3475, 3477, 3482, 3484, 3486, + 3491, 3493, 3498, 3500, 3502, 3504, 3506, 3508, 3510, 3512, + 3520, 3522, 3524, 3529, 3531, 3536, 3538, 3543, 3545, 3550, + 3552, 3557, 3559, 3568, 3570, 3572, 3574, 3576, 3578, 3580, + 3582, 3584, 3586, 3591, 3593, 3595, 3597, 3599, 3601, 3603, + 3605, 3607, 3609, 3611, 3613, 3615, 3617, 3619, 3621, 3623, + 3625, 3627, 3629, 3631, 3633, 3635, 3637, 3642, 3644, 3646, + 3648, 3650, 3652, 3654, 3656, 3661, 3663, 3665, 3667, 3669, + 3671, 3673, 3675, 3724, 3729, 3731, 3733, 3735, 3737, 3739, + 3741, 3743, 3745, 3747, 3756, 3766, 3769, 3773, 3779, 3784, + 3788, 3795, 3799, 3807, 3829, 3834, 3839, 3844, 3849, 3854, + 3859, 3864, 3869, 3874, 3879, 3884, 3886, 3888, 3890, 3892, + 3897, 3902, 3907, 3912, 3917, 3922, 3925, 3931, 3936, 3941, + 3946, 3951, 3957, 3960, 3965, 3970, 3976, 3981, 3986, 3991, + 3996, 4008, 4014, 4019, 4023 }; #endif @@ -4822,174 +4822,174 @@ yyreduce: switch (yyn) { case 2: /* grammar_begin: library_text */ -#line 158 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 168 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4828 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 3: /* grammar_begin: source_text */ -#line 159 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 169 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4834 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 4: /* grammar_begin: %empty */ -#line 160 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 170 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4840 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 5: /* library_text: library_descriptions */ -#line 166 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 176 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4846 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 6: /* library_text: library_text library_descriptions */ -#line 167 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 177 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4852 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 7: /* library_descriptions: library_declaration */ -#line 171 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 181 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4858 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 8: /* library_descriptions: include_statement */ -#line 172 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 182 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4864 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 9: /* library_descriptions: config_declaration */ -#line 173 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 183 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4870 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 10: /* library_declaration: KW_LIBRARY library_identifier file_path_specs ';' */ -#line 177 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 187 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4876 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 11: /* library_declaration: KW_LIBRARY library_identifier file_path_specs KW_INCDIR file_path_specs ';' */ -#line 178 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 188 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4882 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 12: /* file_path_specs: file_path_spec */ -#line 182 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 192 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4888 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 13: /* file_path_specs: file_path_specs ',' file_path_spec */ -#line 183 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 193 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4894 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 14: /* file_path_spec: file_path */ -#line 186 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 196 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4900 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 15: /* file_path: string */ -#line 189 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 199 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4906 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 16: /* include_statement: KW_INCLUDE file_path_spec ';' */ -#line 192 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 202 "D:/gitwork/hdl4se/parser/verilog_parser.y" {} #line 4912 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 17: /* source_text: description */ -#line 198 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 208 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4919 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 18: /* source_text: source_text description */ -#line 200 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 210 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4926 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 19: /* description: module_declaration */ -#line 205 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 215 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4933 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 20: /* description: udp_declaration */ -#line 207 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 217 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4940 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 21: /* description: config_declaration */ -#line 209 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 219 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4947 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 22: /* module_item_list: %empty */ -#line 214 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 224 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4954 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 23: /* module_item_list: module_item */ -#line 216 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 226 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4961 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 24: /* module_item_list: module_item_list module_item */ -#line 218 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 228 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4968 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 25: /* non_port_module_item_list: %empty */ -#line 223 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 233 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4975 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 26: /* non_port_module_item_list: non_port_module_item */ -#line 225 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 235 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4982 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 27: /* non_port_module_item_list: non_port_module_item_list non_port_module_item */ -#line 227 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 237 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 4989 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 28: /* module_declaration: attribute_instance_list module_keyword module_identifier module_parameter_port_list list_of_ports ';' module_item_list KW_ENDMODULE */ -#line 240 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 250 "D:/gitwork/hdl4se/parser/verilog_parser.y" { IVerilogRoot ** ppRoot = getVerilogRoot(); (*ppRoot)->add_module(ppRoot, verilogparseCreateModuleDeclaration(&(yyvsp[-7].list),(yyvsp[-5].string),&(yyvsp[-4].list),&(yyvsp[-3].list),&(yyvsp[-1].list))); @@ -4998,7 +4998,7 @@ yyreduce: break; case 29: /* module_declaration: attribute_instance_list module_keyword module_identifier module_parameter_port_list list_of_port_declarations ';' non_port_module_item_list KW_ENDMODULE */ -#line 253 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 263 "D:/gitwork/hdl4se/parser/verilog_parser.y" { IVerilogRoot ** ppRoot = getVerilogRoot(); (*ppRoot)->add_module(ppRoot, verilogparseCreateModuleDeclaration(&(yyvsp[-7].list),(yyvsp[-5].string),&(yyvsp[-4].list),&(yyvsp[-3].list),&(yyvsp[-1].list))); @@ -5007,21 +5007,21 @@ yyreduce: break; case 30: /* module_keyword: KW_MODULE */ -#line 260 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 270 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5014 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 31: /* module_keyword: KW_MACROMODULE */ -#line 262 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 272 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5021 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 32: /* module_parameter_port_list: %empty */ -#line 272 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 282 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); } @@ -5029,7 +5029,7 @@ yyreduce: break; case 33: /* module_parameter_port_list: '#' '(' module_param_list ')' */ -#line 275 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 285 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-1].list); } @@ -5037,15 +5037,15 @@ yyreduce: break; case 34: /* module_param_list: parameter_declaration */ -#line 281 "D:/gitwork/hdl4se/parser/verilog_parser.y" - { +#line 291 "D:/gitwork/hdl4se/parser/verilog_parser.y" + { (yyval.list) = (yyvsp[0].list); } #line 5045 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 35: /* module_param_list: module_param_list ',' parameter_declaration */ -#line 284 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 294 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-2].list); dlistConcat(&(yyval.list), &(yyvsp[0].list)); @@ -5054,14 +5054,14 @@ yyreduce: break; case 36: /* list_of_ports: %empty */ -#line 290 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 300 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5061 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 37: /* list_of_ports: '(' ports ')' */ -#line 292 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 302 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-1].list); } @@ -5069,7 +5069,7 @@ yyreduce: break; case 38: /* ports: %empty */ -#line 297 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 307 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); } @@ -5077,7 +5077,7 @@ yyreduce: break; case 39: /* ports: ports ',' port */ -#line 300 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 310 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-2].list); dlistAppendItem(&(yyval.list),(yyvsp[0].treenode)); @@ -5086,7 +5086,7 @@ yyreduce: break; case 40: /* ports: port */ -#line 304 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 314 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); dlistAppendItem(&(yyval.list),(yyvsp[0].treenode)); @@ -5095,7 +5095,7 @@ yyreduce: break; case 41: /* list_of_port_declarations: '(' ')' */ -#line 311 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 321 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); } @@ -5103,7 +5103,7 @@ yyreduce: break; case 42: /* list_of_port_declarations: '(' port_declarations ')' */ -#line 314 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 324 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-1].list); } @@ -5111,7 +5111,7 @@ yyreduce: break; case 43: /* port_declarations: %empty */ -#line 320 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 330 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); } @@ -5119,7 +5119,7 @@ yyreduce: break; case 44: /* port_declarations: port_declarations ',' port_declaration */ -#line 323 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 333 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-2].list); dlistAppendItem(&(yyval.list),(yyvsp[0].treenode)); @@ -5128,7 +5128,7 @@ yyreduce: break; case 45: /* port_declarations: port_declaration */ -#line 327 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 337 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); dlistAppendItem(&(yyval.list),(yyvsp[0].treenode)); @@ -5137,7 +5137,7 @@ yyreduce: break; case 46: /* port: port_expression */ -#line 334 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 344 "D:/gitwork/hdl4se/parser/verilog_parser.y" { //$$ = verilogparserCreatePort(NULL, &$1); } @@ -5145,7 +5145,7 @@ yyreduce: break; case 47: /* port: '.' port_identifier '(' port_expression ')' */ -#line 337 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 347 "D:/gitwork/hdl4se/parser/verilog_parser.y" { // $$ = verilogparserCreatePort($2, &$4); } @@ -5153,7 +5153,7 @@ yyreduce: break; case 48: /* port_expression: %empty */ -#line 343 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 353 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); } @@ -5161,7 +5161,7 @@ yyreduce: break; case 49: /* port_expression: port_reference */ -#line 346 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 356 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); dlistAppendItem(&(yyval.list), (yyvsp[0].treenode)); @@ -5170,7 +5170,7 @@ yyreduce: break; case 50: /* port_expression: port_expression ',' port_reference */ -#line 350 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 360 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-2].list); dlistAppendItem(&(yyval.list),(yyvsp[0].treenode)); @@ -5179,6683 +5179,6718 @@ yyreduce: break; case 51: /* port_reference: port_identifier */ -#line 357 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 367 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5186 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 52: /* port_reference: port_identifier '[' ']' */ -#line 359 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 369 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5193 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 53: /* port_reference: port_identifier '[' constant_range_expression ']' */ -#line 361 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 371 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5200 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 54: /* port_declaration: attribute_instance_list inout_declaration */ -#line 366 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 376 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5207 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 55: /* port_declaration: attribute_instance_list input_declaration */ -#line 368 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 378 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5214 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 56: /* port_declaration: attribute_instance_list output_declaration */ -#line 370 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 380 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5221 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 57: /* module_item: port_declaration */ -#line 379 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 389 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5228 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 58: /* module_item: non_port_module_item */ -#line 381 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 391 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5235 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 59: /* module_or_generate_item: attribute_instance_list module_or_generate_item_declaration */ -#line 386 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 396 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5242 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 60: /* module_or_generate_item: attribute_instance_list local_parameter_declaration ';' */ -#line 388 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 398 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5249 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 61: /* module_or_generate_item: attribute_instance_list parameter_override */ -#line 390 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 400 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5256 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 62: /* module_or_generate_item: attribute_instance_list continuous_assign */ -#line 392 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 402 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5263 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 63: /* module_or_generate_item: attribute_instance_list gate_instantiation */ -#line 394 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 404 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5270 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 64: /* module_or_generate_item: attribute_instance_list udp_instantiation */ -#line 396 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 406 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5277 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 65: /* module_or_generate_item: attribute_instance_list module_instantiation */ -#line 398 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 408 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5284 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 66: /* module_or_generate_item: attribute_instance_list initial_construct */ -#line 400 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 410 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5291 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 67: /* module_or_generate_item: attribute_instance_list always_construct */ -#line 402 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 412 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5298 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 68: /* module_or_generate_item: attribute_instance_list loop_generate_construct */ -#line 404 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 414 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5305 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 69: /* module_or_generate_item: attribute_instance_list conditional_generate_construct */ -#line 406 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 416 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5312 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 70: /* module_or_generate_item_declaration: net_declaration */ -#line 411 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 421 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5319 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 71: /* module_or_generate_item_declaration: reg_declaration */ -#line 413 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 423 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5326 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 72: /* module_or_generate_item_declaration: integer_declaration */ -#line 415 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 425 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5333 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 73: /* module_or_generate_item_declaration: real_declaration */ -#line 417 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 427 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5340 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 74: /* module_or_generate_item_declaration: time_declaration */ -#line 419 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 429 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5347 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 75: /* module_or_generate_item_declaration: realtime_declaration */ -#line 421 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 431 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5354 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 76: /* module_or_generate_item_declaration: event_declaration */ -#line 423 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 433 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5361 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 77: /* module_or_generate_item_declaration: genvar_declaration */ -#line 425 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 435 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5368 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 78: /* module_or_generate_item_declaration: task_declaration */ -#line 427 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 437 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5375 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 79: /* module_or_generate_item_declaration: function_declaration */ -#line 429 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 439 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5382 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 80: /* non_port_module_item: module_or_generate_item */ -#line 434 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 444 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5389 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 81: /* non_port_module_item: generate_region */ -#line 436 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 446 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5396 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 82: /* non_port_module_item: specify_block */ -#line 438 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 448 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5403 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 83: /* non_port_module_item: attribute_instance_list parameter_declaration ';' */ -#line 440 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 450 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5410 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 84: /* non_port_module_item: attribute_instance_list specparam_declaration */ -#line 442 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 452 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5417 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 85: /* parameter_override: KW_DEFPARAM list_of_defparam_assignments ';' */ -#line 447 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 457 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5424 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 86: /* config_declaration: KW_CONFIG config_identifier ';' design_statement KW_ENDCONFIG */ -#line 456 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 466 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5431 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 87: /* config_declaration: KW_CONFIG config_identifier ';' design_statement config_rule_statement KW_ENDCONFIG */ -#line 459 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 469 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5438 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 88: /* design_statement: KW_DESIGN design_cell_list ';' */ -#line 463 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 473 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5445 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 89: /* design_cell_list: %empty */ -#line 468 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 478 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5452 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 90: /* design_cell_list: design_cell_list design_cell */ -#line 470 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 480 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5459 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 91: /* design_cell_list: design_cell */ -#line 472 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 482 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5466 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 92: /* design_cell: cell_identifier */ -#line 477 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 487 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5473 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 93: /* design_cell: library_identifier '.' cell_identifier */ -#line 479 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 489 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5480 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 94: /* config_rule_statement: default_clause liblist_clause ';' */ -#line 484 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 494 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5487 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 95: /* config_rule_statement: inst_clause liblist_clause ';' */ -#line 486 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 496 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5494 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 96: /* config_rule_statement: inst_clause use_clause ';' */ -#line 488 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 498 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5501 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 97: /* config_rule_statement: cell_clause liblist_clause ';' */ -#line 490 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 500 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5508 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 98: /* config_rule_statement: cell_clause use_clause ';' */ -#line 492 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 502 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5515 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 99: /* default_clause: KW_DEFAULT */ -#line 497 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 507 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5522 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 100: /* inst_clause: KW_INSTANCE inst_name */ -#line 502 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 512 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5529 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 101: /* inst_name: topmodule_identifier */ -#line 507 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 517 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5536 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 102: /* inst_name: inst_name '.' instance_identifier */ -#line 509 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 519 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5543 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 103: /* cell_clause: KW_CELL cell_identifier */ -#line 514 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 524 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5550 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 104: /* cell_clause: KW_CELL library_identifier '.' cell_identifier */ -#line 516 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 526 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5557 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 105: /* liblist_clause: KW_LIBLIST */ -#line 521 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 531 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5564 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 106: /* liblist_clause: KW_LIBLIST library_identifier */ -#line 523 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 533 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5571 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 107: /* use_clause: KW_USE cell_identifier */ -#line 528 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 538 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5578 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 108: /* use_clause: KW_USE cell_identifier ':' KW_CONFIG */ -#line 530 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 540 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5585 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 109: /* use_clause: KW_USE library_identifier '.' cell_identifier */ -#line 532 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 542 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5592 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 110: /* use_clause: KW_USE library_identifier '.' cell_identifier ':' KW_CONFIG */ -#line 534 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 544 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5599 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 111: /* signed_option: %empty */ -#line 545 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 555 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5606 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 112: /* signed_option: KW_SIGNED */ -#line 547 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 557 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } #line 5613 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 113: /* range_option: %empty */ -#line 552 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 562 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.two_obj).obj[0] = NULL; + (yyval.two_obj).obj[1] = NULL; } -#line 5620 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5622 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 114: /* range_option: range */ -#line 554 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 566 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.two_obj) = (yyvsp[0].two_obj); } -#line 5627 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5630 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 115: /* local_parameter_declaration: KW_LOCALPARAM signed_option range_option list_of_param_assignments */ -#line 559 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 572 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5634 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5637 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 116: /* local_parameter_declaration: KW_LOCALPARAM parameter_type list_of_param_assignments */ -#line 561 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 574 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5641 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5644 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 117: /* parameter_declaration: KW_PARAMETER signed_option range_option list_of_param_assignments */ -#line 566 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 579 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5648 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5651 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 118: /* parameter_declaration: KW_PARAMETER parameter_type list_of_param_assignments */ -#line 568 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 581 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + IDListVarPtr pitem, pitemtemp; + IMapStr2PtrItem ** assignitem; + dlistInit(&(yyval.list)); + pitem = (yyvsp[0].list).__dlist_pNext; + while (pitem != &(yyvsp[0].list)) { + pitemtemp = pitem->__dlist_pNext; + if (0 == objectQueryInterface(pitem, IID_MAPSTR2PTRITEM, (void **)&assignitem)) { + const char *name; + HOBJECT expr; + HOBJECT paramitem; + objectCall1(assignitem, GetName, &name); + objectCall1(assignitem, GetData, &expr); + paramitem = verilogparseCreateParameter(PARAM_TYPE_PARAM, (yyvsp[-1].ival), 0, NULL, NULL, name, expr); + dlistAppendItem(&(yyval.list), paramitem); + } + pitem = pitemtemp; + } + dlistRemoveAll(&(yyvsp[0].list)); } -#line 5655 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5676 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 119: /* specparam_declaration: KW_SPECPARAM range_option list_of_specparam_assignments ';' */ -#line 573 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 604 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5662 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5683 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 120: /* parameter_type: KW_INTEGER */ -#line 578 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 609 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.ival) = PARAM_DATA_TYPE_INTEGER; } -#line 5669 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5691 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 121: /* parameter_type: KW_REAL */ -#line 580 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 612 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.ival) = PARAM_DATA_TYPE_REAL; } -#line 5676 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5699 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 122: /* parameter_type: KW_REALTIME */ -#line 582 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 615 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.ival) = PARAM_DATA_TYPE_REALTIME; } -#line 5683 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5707 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 123: /* parameter_type: KW_TIME */ -#line 584 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 618 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.ival) = PARAM_DATA_TYPE_TIME; } -#line 5690 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5715 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 124: /* net_type_option: %empty */ -#line 593 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 628 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5697 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5722 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 125: /* net_type_option: net_type */ -#line 595 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 630 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5704 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5729 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 126: /* inout_declaration: KW_INOUT net_type_option signed_option range_option list_of_port_identifiers */ -#line 601 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 636 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5711 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5736 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 127: /* input_declaration: KW_INPUT net_type_option signed_option range_option list_of_port_identifiers */ -#line 607 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 642 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5718 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5743 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 128: /* output_declaration: KW_OUTPUT net_type_option signed_option range_option list_of_port_identifiers */ -#line 613 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 648 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5725 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5750 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 129: /* output_declaration: KW_OUTPUT KW_REG signed_option range_option list_of_variable_port_identifiers */ -#line 616 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 651 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5732 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5757 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 130: /* output_declaration: KW_OUTPUT output_variable_type list_of_variable_port_identifiers */ -#line 619 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 654 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5739 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5764 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 131: /* event_declaration: KW_EVENT list_of_event_identifiers ';' */ -#line 627 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 662 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5746 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5771 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 132: /* integer_declaration: KW_INTEGER list_of_variable_identifiers ';' */ -#line 631 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 666 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5753 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5778 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 133: /* delay3_option: %empty */ -#line 635 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 670 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5760 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5785 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 134: /* delay3_option: delay3 */ -#line 637 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 672 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5767 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5792 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 135: /* drive_strength_option: %empty */ -#line 642 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 677 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5774 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5799 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 136: /* drive_strength_option: drive_strength */ -#line 644 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 679 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5781 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5806 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 137: /* vectored_option: %empty */ -#line 649 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 684 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5788 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5813 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 138: /* vectored_option: KW_VECTORED */ -#line 651 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 686 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5795 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5820 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 139: /* scalared_option: %empty */ -#line 656 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 691 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5802 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5827 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 140: /* scalared_option: KW_SCALARED */ -#line 658 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 693 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5809 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5834 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 141: /* charge_strength_option: %empty */ -#line 663 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 698 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5816 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5841 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 142: /* charge_strength_option: charge_strength */ -#line 665 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 700 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5823 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5848 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 143: /* vectored_or_scalared_option: %empty */ -#line 670 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 705 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5830 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5855 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 144: /* vectored_or_scalared_option: KW_VECTORED */ -#line 672 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 707 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5837 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5862 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 145: /* vectored_or_scalared_option: KW_SCALARED */ -#line 674 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 709 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5844 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5869 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 146: /* net_declaration: net_type signed_option delay3_option list_of_net_identifiers ';' */ -#line 680 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 715 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5851 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5876 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 147: /* net_declaration: net_type drive_strength_option signed_option delay3_option list_of_net_decl_assignments ';' */ -#line 683 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 718 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5858 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5883 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 148: /* net_declaration: net_type vectored_option signed_option range delay3_option list_of_net_identifiers ';' */ -#line 686 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 721 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5865 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5890 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 149: /* net_declaration: net_type scalared_option signed_option range delay3_option list_of_net_identifiers ';' */ -#line 689 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 724 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5872 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5897 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 150: /* net_declaration: net_type drive_strength_option vectored_option scalared_option signed_option range delay3_option list_of_net_decl_assignments ';' */ -#line 693 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 728 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5879 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5904 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 152: /* net_declaration: KW_TRIREG drive_strength_option signed_option delay3_option list_of_net_decl_assignments ';' */ -#line 698 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 733 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5886 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5911 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 153: /* net_declaration: KW_TRIREG charge_strength_option vectored_or_scalared_option signed_option range delay3_option list_of_net_identifiers ';' */ -#line 701 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 736 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5893 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5918 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 154: /* net_declaration: KW_TRIREG drive_strength_option vectored_or_scalared_option signed_option range delay3_option list_of_net_decl_assignments ';' */ -#line 704 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 739 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5900 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5925 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 155: /* real_declaration: KW_REAL list_of_real_identifiers ';' */ -#line 709 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 744 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5907 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5932 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 156: /* realtime_declaration: KW_REALTIME list_of_real_identifiers ';' */ -#line 714 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 749 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5914 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5939 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 157: /* reg_declaration: KW_REG signed_option range_option list_of_variable_identifiers ';' */ -#line 720 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 755 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5921 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5946 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 158: /* time_declaration: KW_TIME list_of_variable_identifiers ';' */ -#line 725 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 760 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5928 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5953 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 159: /* net_type: KW_SUPPLY0 */ -#line 734 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 769 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5935 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5960 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 160: /* net_type: KW_SUPPLY1 */ -#line 736 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 771 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5942 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5967 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 161: /* net_type: KW_TRI */ -#line 738 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 773 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5949 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5974 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 162: /* net_type: KW_TRIAND */ -#line 740 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 775 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5956 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5981 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 163: /* net_type: KW_TRIOR */ -#line 742 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 777 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5963 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5988 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 164: /* net_type: KW_TRI0 */ -#line 744 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 779 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5970 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 5995 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 165: /* net_type: KW_TRI1 */ -#line 746 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 781 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5977 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6002 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 166: /* net_type: KW_UWIRE */ -#line 748 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 783 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5984 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6009 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 167: /* net_type: KW_WIRE */ -#line 750 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 785 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5991 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6016 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 168: /* net_type: KW_WAND */ -#line 752 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 787 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 5998 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6023 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 169: /* net_type: KW_WOR */ -#line 754 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 789 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6005 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6030 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 170: /* output_variable_type: KW_INTEGER */ -#line 759 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 794 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6012 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6037 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 171: /* output_variable_type: KW_TIME */ -#line 761 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 796 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6019 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6044 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 172: /* dimension_list: %empty */ -#line 766 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 801 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6026 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6051 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 173: /* dimension_list: dimension_list dimension */ -#line 768 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 803 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6033 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6058 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 174: /* dimension_list: dimension */ -#line 770 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 805 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6040 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6065 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 175: /* real_type: real_identifier dimension_list */ -#line 775 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 810 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6047 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6072 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 176: /* real_type: real_identifier '=' constant_expression */ -#line 777 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 812 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6054 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6079 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 177: /* variable_type: variable_identifier dimension_list */ -#line 781 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 816 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6061 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6086 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 178: /* variable_type: variable_identifier '=' constant_expression */ -#line 783 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 818 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6068 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6093 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 179: /* drive_strength: '(' strength0 ',' strength1 ')' */ -#line 792 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 827 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6075 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6100 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 180: /* drive_strength: '(' strength1 ',' strength0 ')' */ -#line 794 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 829 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6082 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6107 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 181: /* drive_strength: '(' strength0 ',' KW_HIGHZ1 ')' */ -#line 796 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 831 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6089 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6114 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 182: /* drive_strength: '(' strength1 ',' KW_HIGHZ0 ')' */ -#line 798 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 833 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6096 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6121 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 183: /* drive_strength: '(' KW_HIGHZ0 ',' strength1 ')' */ -#line 800 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 835 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6103 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6128 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 184: /* drive_strength: '(' KW_HIGHZ1 ',' strength0 ')' */ -#line 802 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 837 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6110 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6135 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 185: /* strength0: KW_SUPPLY0 */ -#line 806 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 841 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6117 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6142 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 186: /* strength0: KW_STRONG0 */ -#line 808 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 843 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6124 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6149 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 187: /* strength0: KW_PULL0 */ -#line 810 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 845 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6131 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6156 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 188: /* strength0: KW_WEAK0 */ -#line 812 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 847 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6138 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6163 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 189: /* strength1: KW_SUPPLY1 */ -#line 817 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 852 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6145 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6170 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 190: /* strength1: KW_STRONG1 */ -#line 819 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 854 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6152 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6177 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 191: /* strength1: KW_PULL1 */ -#line 821 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 856 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6159 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6184 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 192: /* strength1: KW_WEAK1 */ -#line 823 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 858 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6166 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6191 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 193: /* charge_strength: '(' KW_SMALL ')' */ -#line 828 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 863 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6173 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6198 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 194: /* charge_strength: '(' KW_MEDIUM ')' */ -#line 830 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 865 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6180 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6205 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 195: /* charge_strength: '(' KW_LARGE ')' */ -#line 832 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 867 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6187 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6212 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 196: /* delay3: '#' delay_value */ -#line 841 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 876 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6194 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6219 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 197: /* delay3: '#' '(' mintypmax_expression ')' */ -#line 843 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 878 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6201 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6226 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 198: /* delay3: '#' '(' mintypmax_expression ',' mintypmax_expression ')' */ -#line 845 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 880 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6208 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6233 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 199: /* delay3: '#' '(' mintypmax_expression ',' mintypmax_expression ',' mintypmax_expression ')' */ -#line 847 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 882 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6215 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6240 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 201: /* delay2: '#' '(' mintypmax_expression ')' */ -#line 853 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 888 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6222 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6247 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 202: /* delay2: '#' '(' mintypmax_expression ',' mintypmax_expression ')' */ -#line 855 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 890 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6229 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6254 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 203: /* delay_value: unsigned_number */ -#line 860 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 895 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6236 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6261 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 204: /* delay_value: NUM_REAL */ -#line 862 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 897 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6243 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6268 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 205: /* delay_value: identifier */ -#line 864 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 899 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6250 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6275 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 206: /* list_of_defparam_assignments: defparam_assignment */ -#line 872 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 907 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6257 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6282 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 207: /* list_of_defparam_assignments: list_of_defparam_assignments ',' defparam_assignment */ -#line 874 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 909 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6264 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6289 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 208: /* list_of_dimensions: dimension */ -#line 879 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 914 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6271 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6296 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 209: /* list_of_dimensions: list_of_dimensions ',' dimension */ -#line 881 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 916 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6278 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6303 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 210: /* list_of_event_identifiers: event_identifier list_of_dimensions */ -#line 886 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 921 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6285 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6310 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 211: /* list_of_event_identifiers: list_of_event_identifiers ',' event_identifier list_of_dimensions */ -#line 888 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 923 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6292 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6317 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 212: /* list_of_net_decl_assignments: net_decl_assignment */ -#line 893 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 928 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6299 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6324 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 213: /* list_of_net_decl_assignments: list_of_net_decl_assignments ',' net_decl_assignment */ -#line 895 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 930 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6306 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6331 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 214: /* dimension_list: %empty */ -#line 900 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 935 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6313 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6338 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 215: /* dimension_list: dimension */ -#line 902 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 937 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6320 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6345 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 216: /* dimension_list: dimension_list dimension */ -#line 904 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 939 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6327 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6352 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 217: /* list_of_net_identifiers: net_identifier dimension_list */ -#line 909 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 944 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6334 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6359 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 218: /* list_of_net_identifiers: list_of_net_identifiers ',' net_identifier dimension_list */ -#line 911 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 946 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6341 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6366 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 219: /* list_of_event_identifiers: net_identifier list_of_dimensions */ -#line 916 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 951 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6348 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6373 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 220: /* list_of_event_identifiers: list_of_event_identifiers ',' net_identifier list_of_dimensions */ -#line 918 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 953 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6355 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6380 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 221: /* list_of_param_assignments: param_assignment */ -#line 923 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 958 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + dlistInit(&(yyval.list)); + dlistAppendItem(&(yyval.list), (yyvsp[0].obj)); } -#line 6362 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6389 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 222: /* list_of_param_assignments: list_of_param_assignments ',' param_assignment */ -#line 925 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 962 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.list) = (yyvsp[-2].list); + dlistAppendItem(&(yyval.list), (yyvsp[0].obj)); } -#line 6369 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6398 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 223: /* list_of_port_identifiers: port_identifier */ -#line 931 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 970 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6376 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6405 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 224: /* list_of_port_identifiers: list_of_port_identifiers ',' port_identifier */ -#line 933 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 972 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6383 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6412 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 225: /* list_of_real_identifiers: real_type */ -#line 938 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 977 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6390 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6419 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 226: /* list_of_real_identifiers: list_of_real_identifiers ',' real_type */ -#line 940 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 979 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6397 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6426 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 227: /* list_of_specparam_assignments: specparam_assignment */ -#line 946 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 985 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6404 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6433 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 228: /* list_of_specparam_assignments: list_of_specparam_assignments ',' specparam_assignment */ -#line 948 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 987 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6411 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6440 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 229: /* list_of_variable_identifiers: variable_type */ -#line 953 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 992 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6418 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6447 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 230: /* list_of_variable_identifiers: list_of_variable_identifiers ',' variable_type */ -#line 955 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 994 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6425 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6454 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 231: /* port_ident: port_identifier */ -#line 960 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 999 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6432 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6461 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 232: /* port_ident: port_identifier '=' constant_expression */ -#line 962 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1001 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6439 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6468 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 233: /* list_of_variable_port_identifiers: port_ident */ -#line 967 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1006 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6446 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6475 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 234: /* list_of_variable_port_identifiers: list_of_variable_port_identifiers ',' port_ident */ -#line 969 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1008 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6453 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6482 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 235: /* defparam_assignment: hierarchical_parameter_identifier '=' constant_mintypmax_expression */ -#line 978 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1017 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6460 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6489 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 236: /* net_decl_assignment: net_identifier '=' expression */ -#line 983 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1022 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6467 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6496 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 237: /* param_assignment: parameter_identifier '=' constant_mintypmax_expression */ -#line 988 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1027 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.obj) = verilogparseCreatePtrListItem((yyvsp[-2].string), (yyvsp[0].treenode)); } -#line 6474 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6504 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 238: /* specparam_assignment: specparam_identifier '=' constant_mintypmax_expression */ -#line 993 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1033 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6481 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6511 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 239: /* specparam_assignment: pulse_control_specparam */ -#line 995 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1035 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6488 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6518 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 240: /* pulse_control_specparam_lvalue: SIMPLE_ID */ -#line 1009 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1049 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6495 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6525 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 241: /* pulse_control_specparam_lvalue: SIMPLE_ID '[' constant_range_expression ']' */ -#line 1012 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1052 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6502 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6532 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 242: /* pulse_control_specparam_lvalue: SIMPLE_ID '[' constant_range_expression ']' SYSTEM_ID */ -#line 1016 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1056 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6509 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6539 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 243: /* pulse_control_specparam_lvalue: SIMPLE_ID '[' constant_range_expression ']' SYSTEM_ID '[' constant_range_expression ']' */ -#line 1021 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1061 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6516 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6546 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 244: /* pulse_control_specparam: KW_PATHPULSE '=' '(' reject_limit_value ')' */ -#line 1026 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1066 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6523 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6553 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 245: /* pulse_control_specparam: KW_PATHPULSE '=' '(' reject_limit_value ',' error_limit_value ')' */ -#line 1028 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1068 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6530 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6560 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 246: /* pulse_control_specparam: pulse_control_specparam_lvalue '=' '(' reject_limit_value ')' */ -#line 1031 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1071 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6537 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6567 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 247: /* pulse_control_specparam: pulse_control_specparam_lvalue '=' '(' reject_limit_value ',' error_limit_value ')' */ -#line 1034 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1074 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6544 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6574 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 248: /* error_limit_value: limit_value */ -#line 1040 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1080 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6551 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6581 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 249: /* reject_limit_value: limit_value */ -#line 1045 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1085 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6558 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6588 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 250: /* limit_value: constant_mintypmax_expression */ -#line 1050 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1090 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6565 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6595 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 251: /* dimension: '[' dimension_constant_expression ':' dimension_constant_expression ']' */ -#line 1058 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1098 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6572 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6602 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 252: /* range: '[' msb_constant_expression ':' lsb_constant_expression ']' */ -#line 1062 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1102 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.two_obj).obj[0] = (yyvsp[-3].treenode); + (yyval.two_obj).obj[1] = (yyvsp[-1].treenode); } -#line 6579 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6611 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 253: /* automatic_option: %empty */ -#line 1070 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1112 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6586 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6618 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 254: /* automatic_option: KW_AUTOMATIC */ -#line 1072 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1114 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6593 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6625 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 255: /* function_range_or_type_option: %empty */ -#line 1077 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1119 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6600 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6632 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 256: /* function_range_or_type_option: function_range_or_type */ -#line 1079 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1121 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6607 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6639 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 257: /* function_item_declaration_list: function_item_declaration */ -#line 1084 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1126 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6614 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6646 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 258: /* function_item_declaration_list: function_item_declaration_list function_item_declaration */ -#line 1086 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1128 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6621 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6653 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 259: /* block_item_declaration_list: %empty */ -#line 1091 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1133 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6628 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6660 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 260: /* block_item_declaration_list: block_item_declaration */ -#line 1093 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1135 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6635 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6667 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 261: /* block_item_declaration_list: block_item_declaration_list block_item_declaration */ -#line 1095 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1137 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6642 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6674 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 262: /* function_range_or_type_option: %empty */ -#line 1100 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1142 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6649 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6681 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 263: /* function_range_or_type_option: function_range_or_type */ -#line 1102 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1144 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6656 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6688 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 264: /* function_declaration: KW_FUNCTION automatic_option function_range_or_type_option function_identifier ';' function_item_declaration_list function_statement KW_ENDFUNCTION */ -#line 1110 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1152 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6663 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6695 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 265: /* function_declaration: KW_FUNCTION automatic_option function_range_or_type_option function_identifier '(' function_port_list ')' ';' block_item_declaration_list function_statement KW_ENDFUNCTION */ -#line 1115 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1157 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6670 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6702 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 267: /* function_item_declaration: attribute_instance_list tf_input_declaration ';' */ -#line 1121 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1163 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6677 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6709 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 268: /* function_port_list: attribute_instance_list tf_input_declaration */ -#line 1126 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1168 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6684 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6716 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 269: /* function_port_list: function_port_list attribute_instance_list tf_input_declaration */ -#line 1128 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1170 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6691 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6723 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 270: /* function_range_or_type: signed_option range_option */ -#line 1133 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1175 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6698 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6730 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 271: /* function_range_or_type: KW_INTEGER */ -#line 1135 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1177 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6705 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6737 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 272: /* function_range_or_type: KW_REAL */ -#line 1137 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1179 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6712 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6744 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 273: /* function_range_or_type: KW_REALTIME */ -#line 1139 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1181 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6719 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6751 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 274: /* function_range_or_type: KW_TIME */ -#line 1141 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1183 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6726 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6758 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 275: /* task_item_declaration_list: %empty */ -#line 1149 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1191 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6733 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6765 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 276: /* task_item_declaration_list: task_item_declaration_list task_item_declaration */ -#line 1151 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1193 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6740 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6772 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 277: /* task_item_declaration_list: task_item_declaration */ -#line 1153 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1195 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6747 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6779 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 278: /* task_port_list_option: %empty */ -#line 1158 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1200 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6754 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6786 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 279: /* task_port_list_option: task_port_list */ -#line 1160 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1202 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6761 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6793 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 280: /* task_declaration: KW_TASK automatic_option task_identifier ';' task_item_declaration_list statement_or_null KW_ENDTASK */ -#line 1168 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1210 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6768 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6800 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 281: /* task_declaration: KW_TASK automatic_option task_identifier '(' task_port_list_option ')' ';' block_item_declaration_list statement_or_null KW_ENDTASK */ -#line 1173 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1215 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6775 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6807 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 282: /* task_item_declaration: block_item_declaration */ -#line 1178 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1220 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6782 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6814 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 283: /* task_item_declaration: attribute_instance_list tf_input_declaration ';' */ -#line 1180 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1222 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6789 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6821 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 284: /* task_item_declaration: attribute_instance_list tf_output_declaration ';' */ -#line 1182 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1224 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6796 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6828 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 285: /* task_item_declaration: attribute_instance_list tf_inout_declaration ';' */ -#line 1184 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1226 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6803 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6835 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 286: /* task_port_list: task_port_item */ -#line 1189 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1231 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6810 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6842 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 287: /* task_port_list: task_port_list ',' task_port_item */ -#line 1191 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1233 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6817 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6849 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 288: /* task_port_item: attribute_instance_list tf_input_declaration */ -#line 1196 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1238 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6824 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6856 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 289: /* task_port_item: attribute_instance_list tf_output_declaration */ -#line 1198 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1240 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6831 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6863 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 290: /* task_port_item: attribute_instance_list tf_inout_declaration */ -#line 1200 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1242 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6838 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6870 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 291: /* reg_option: %empty */ -#line 1205 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1247 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6845 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6877 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 292: /* reg_option: KW_REG */ -#line 1207 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1249 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6852 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6884 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 293: /* tf_input_declaration: KW_INPUT reg_option signed_option range_option list_of_port_identifiers */ -#line 1212 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1254 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6859 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6891 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 294: /* tf_input_declaration: KW_INPUT task_port_type list_of_port_identifiers */ -#line 1214 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1256 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6866 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6898 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 295: /* tf_output_declaration: KW_OUTPUT reg_option signed_option range_option list_of_port_identifiers */ -#line 1219 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1261 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6873 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6905 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 296: /* tf_output_declaration: KW_OUTPUT task_port_type list_of_port_identifiers */ -#line 1221 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1263 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6880 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6912 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 297: /* tf_inout_declaration: KW_INOUT reg_option signed_option range_option list_of_port_identifiers */ -#line 1226 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1268 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6887 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6919 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 298: /* tf_inout_declaration: KW_INOUT task_port_type list_of_port_identifiers */ -#line 1228 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1270 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6894 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6926 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 299: /* task_port_type: KW_INTEGER */ -#line 1233 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1275 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6901 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6933 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 300: /* task_port_type: KW_REAL */ -#line 1235 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1277 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6908 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6940 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 301: /* task_port_type: KW_REALTIME */ -#line 1237 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1279 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6915 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6947 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 302: /* task_port_type: KW_TIME */ -#line 1239 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1281 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6922 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6954 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 303: /* block_item_declaration: attribute_instance_list KW_REG signed_option range_option list_of_block_variable_identifiers ';' */ -#line 1247 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1289 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6929 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6961 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 304: /* block_item_declaration: attribute_instance_list KW_INTEGER list_of_block_variable_identifiers ';' */ -#line 1249 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1291 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6936 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6968 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 305: /* block_item_declaration: attribute_instance_list KW_TIME list_of_block_variable_identifiers ';' */ -#line 1251 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1293 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6943 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6975 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 306: /* block_item_declaration: attribute_instance_list KW_REAL list_of_block_real_identifiers ';' */ -#line 1253 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1295 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6950 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6982 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 307: /* block_item_declaration: attribute_instance_list KW_REALTIME list_of_block_real_identifiers ';' */ -#line 1255 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1297 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6957 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6989 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 308: /* block_item_declaration: attribute_instance_list event_declaration */ -#line 1257 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1299 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6964 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 6996 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 309: /* block_item_declaration: attribute_instance_list local_parameter_declaration ';' */ -#line 1259 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1301 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6971 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7003 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 310: /* block_item_declaration: attribute_instance_list parameter_declaration ';' */ -#line 1261 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1303 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6978 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7010 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 311: /* list_of_block_variable_identifiers: block_variable_type */ -#line 1266 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1308 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6985 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7017 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 312: /* list_of_block_variable_identifiers: list_of_block_variable_identifiers ',' block_variable_type */ -#line 1268 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1310 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6992 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7024 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 313: /* list_of_block_real_identifiers: block_real_type */ -#line 1273 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1315 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 6999 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7031 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 314: /* list_of_block_real_identifiers: list_of_block_real_identifiers ',' block_real_type */ -#line 1275 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1317 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7006 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7038 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 315: /* block_variable_type: variable_identifier dimension_list */ -#line 1280 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1322 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7013 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7045 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 316: /* block_real_type: real_identifier dimension_list */ -#line 1285 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1327 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7020 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7052 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 317: /* cmos_switch_instance_list: cmos_switch_instance */ -#line 1295 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1337 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7027 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7059 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 318: /* cmos_switch_instance_list: cmos_switch_instance_list ',' cmos_switch_instance */ -#line 1297 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1339 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7034 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7066 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 319: /* enable_gate_instance_list: enable_gate_instance */ -#line 1302 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1344 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7041 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7073 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 320: /* enable_gate_instance_list: enable_gate_instance_list ',' enable_gate_instance */ -#line 1304 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1346 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7048 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7080 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 321: /* mos_switch_instance_list: mos_switch_instance */ -#line 1309 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1351 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7055 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7087 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 322: /* mos_switch_instance_list: mos_switch_instance_list ',' mos_switch_instance */ -#line 1311 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1353 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7062 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7094 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 323: /* n_input_gate_instance_list: n_input_gate_instance */ -#line 1316 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1358 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7069 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7101 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 324: /* n_input_gate_instance_list: n_input_gate_instance_list ',' n_input_gate_instance */ -#line 1318 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1360 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7076 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7108 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 325: /* n_output_gate_instance_list: n_output_gate_instance */ -#line 1323 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1365 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7083 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7115 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 326: /* n_output_gate_instance_list: n_output_gate_instance_list ',' n_output_gate_instance */ -#line 1325 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1367 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7090 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7122 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 327: /* pass_enable_switch_instance_list: pass_enable_switch_instance */ -#line 1330 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1372 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7097 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7129 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 328: /* pass_enable_switch_instance_list: pass_enable_switch_instance_list ',' pass_enable_switch_instance */ -#line 1332 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1374 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7104 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7136 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 329: /* pass_switch_instance_list: pass_switch_instance */ -#line 1337 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1379 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7111 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7143 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 330: /* pass_switch_instance_list: pass_switch_instance_list ',' pass_switch_instance */ -#line 1339 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1381 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7118 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7150 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 331: /* pulldown_strength_option: %empty */ -#line 1344 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1386 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7125 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7157 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 332: /* pulldown_strength_option: pulldown_strength */ -#line 1346 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1388 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7132 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7164 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 333: /* pullup_strength_option: %empty */ -#line 1351 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1393 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7139 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7171 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 334: /* pullup_strength_option: pullup_strength */ -#line 1353 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1395 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7146 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7178 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 335: /* pull_gate_instance_list: pull_gate_instance */ -#line 1358 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1400 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7153 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7185 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 336: /* pull_gate_instance_list: pull_gate_instance_list ',' pull_gate_instance */ -#line 1360 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1402 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7160 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7192 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 337: /* delay2_option: %empty */ -#line 1365 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1407 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7167 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7199 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 338: /* delay2_option: delay2 */ -#line 1367 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1409 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7174 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7206 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 339: /* gate_instantiation: cmos_switchtype delay3_option cmos_switch_instance_list ';' */ -#line 1372 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1414 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7181 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7213 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 340: /* gate_instantiation: enable_gatetype drive_strength_option delay3_option enable_gate_instance_list ';' */ -#line 1374 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1416 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7188 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7220 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 341: /* gate_instantiation: mos_switchtype delay3_option mos_switch_instance_list ';' */ -#line 1376 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1418 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7195 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7227 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 342: /* gate_instantiation: n_input_gatetype drive_strength_option delay2_option n_input_gate_instance_list ';' */ -#line 1378 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1420 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7202 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7234 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 343: /* gate_instantiation: n_output_gatetype drive_strength_option delay2_option n_output_gate_instance_list ';' */ -#line 1380 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1422 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7209 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7241 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 344: /* gate_instantiation: pass_en_switchtype delay2_option pass_enable_switch_instance_list ';' */ -#line 1382 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1424 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7216 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7248 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 345: /* gate_instantiation: pass_switchtype pass_switch_instance_list ';' */ -#line 1384 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1426 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7223 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7255 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 346: /* gate_instantiation: KW_PULLDOWN pulldown_strength_option pull_gate_instance_list ';' */ -#line 1386 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1428 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7230 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7262 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 347: /* gate_instantiation: KW_PULLUP pullup_strength_option pull_gate_instance_list ';' */ -#line 1388 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1430 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7237 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7269 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 348: /* name_of_gate_instance_option: %empty */ -#line 1393 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1435 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7244 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7276 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 349: /* name_of_gate_instance_option: name_of_gate_instance */ -#line 1395 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1437 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7251 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7283 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 350: /* input_terminal_list: input_terminal */ -#line 1401 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1443 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7258 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7290 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 351: /* input_terminal_list: input_terminal_list ',' input_terminal */ -#line 1403 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1445 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7265 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7297 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 352: /* output_terminal_list: output_terminal */ -#line 1408 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1450 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7272 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7304 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 353: /* output_terminal_list: output_terminal_list ',' output_terminal */ -#line 1410 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1452 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7279 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7311 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 354: /* cmos_switch_instance: name_of_gate_instance_option '(' output_terminal ',' input_terminal ',' ncontrol_terminal ',' pcontrol_terminal ')' */ -#line 1415 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1457 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7286 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7318 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 355: /* enable_gate_instance: name_of_gate_instance_option '(' output_terminal ',' input_terminal ',' enable_terminal ')' */ -#line 1420 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1462 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7293 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7325 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 356: /* mos_switch_instance: name_of_gate_instance_option '(' output_terminal ',' input_terminal ',' enable_terminal ')' */ -#line 1425 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1467 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7300 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7332 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 357: /* n_input_gate_instance: name_of_gate_instance_option '(' output_terminal ',' input_terminal_list ')' */ -#line 1430 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1472 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7307 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7339 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 358: /* n_output_gate_instance: name_of_gate_instance_option '(' output_terminal_list ',' input_terminal ')' */ -#line 1435 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1477 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7314 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7346 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 359: /* pass_switch_instance: name_of_gate_instance_option '(' inout_terminal ',' inout_terminal ')' */ -#line 1440 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1482 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7321 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7353 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 360: /* pass_enable_switch_instance: name_of_gate_instance_option '(' inout_terminal ',' inout_terminal ',' enable_terminal ')' */ -#line 1445 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1487 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7328 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7360 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 361: /* pull_gate_instance: name_of_gate_instance_option '(' output_terminal ')' */ -#line 1450 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1492 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7335 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7367 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 362: /* name_of_gate_instance: gate_instance_identifier range_option */ -#line 1455 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1497 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7342 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7374 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 363: /* pulldown_strength: '(' strength0 ',' strength1 ')' */ -#line 1464 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1506 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7349 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7381 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 364: /* pulldown_strength: '(' strength1 ',' strength0 ')' */ -#line 1466 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1508 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7356 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7388 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 365: /* pulldown_strength: '(' strength0 ')' */ -#line 1468 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1510 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7363 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7395 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 366: /* pullup_strength: '(' strength0 ',' strength1 ')' */ -#line 1473 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1515 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7370 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7402 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 367: /* pullup_strength: '(' strength1 ',' strength0 ')' */ -#line 1475 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1517 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7377 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7409 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 368: /* pullup_strength: '(' strength1 ')' */ -#line 1477 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1519 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7384 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7416 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 369: /* enable_terminal: expression */ -#line 1485 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1527 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7391 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7423 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 370: /* inout_terminal: net_lvalue */ -#line 1490 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1532 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7398 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7430 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 371: /* input_terminal: expression */ -#line 1495 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1537 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7405 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7437 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 372: /* ncontrol_terminal: expression */ -#line 1500 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1542 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7412 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7444 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 373: /* output_terminal: net_lvalue */ -#line 1505 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1547 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7419 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7451 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 374: /* pcontrol_terminal: expression */ -#line 1510 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1552 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7426 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7458 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 375: /* cmos_switchtype: KW_CMOS */ -#line 1518 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1560 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7433 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7465 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 376: /* cmos_switchtype: KW_RCMOS */ -#line 1520 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1562 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7440 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7472 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 377: /* enable_gatetype: KW_BUFIF0 */ -#line 1524 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1566 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7447 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7479 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 378: /* enable_gatetype: KW_BUFIF1 */ -#line 1526 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1568 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7454 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7486 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 379: /* enable_gatetype: KW_NOTIF0 */ -#line 1528 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1570 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7461 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7493 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 380: /* enable_gatetype: KW_NOTIF1 */ -#line 1530 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1572 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7468 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7500 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 381: /* mos_switchtype: KW_NMOS */ -#line 1535 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1577 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7475 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7507 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 382: /* mos_switchtype: KW_PMOS */ -#line 1537 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1579 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7482 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7514 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 383: /* mos_switchtype: KW_RNMOS */ -#line 1539 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1581 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7489 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7521 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 384: /* mos_switchtype: KW_RPMOS */ -#line 1541 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1583 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7496 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7528 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 385: /* n_input_gatetype: KW_AND */ -#line 1546 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1588 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7503 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7535 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 386: /* n_input_gatetype: KW_NAND */ -#line 1548 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1590 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7510 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7542 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 387: /* n_input_gatetype: KW_OR */ -#line 1550 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1592 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7517 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7549 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 388: /* n_input_gatetype: KW_NOR */ -#line 1552 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1594 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7524 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7556 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 389: /* n_input_gatetype: KW_XOR */ -#line 1554 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1596 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7531 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7563 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 390: /* n_input_gatetype: KW_XNOR */ -#line 1556 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1598 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7538 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7570 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 391: /* n_output_gatetype: KW_BUF */ -#line 1561 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1603 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7545 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7577 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 392: /* n_output_gatetype: KW_NOT */ -#line 1563 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1605 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7552 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7584 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 393: /* pass_en_switchtype: KW_TRANIF0 */ -#line 1568 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1610 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7559 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7591 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 394: /* pass_en_switchtype: KW_TRANIF1 */ -#line 1570 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1612 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7566 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7598 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 395: /* pass_en_switchtype: KW_RTRANIF1 */ -#line 1572 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1614 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7573 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7605 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 396: /* pass_en_switchtype: KW_RTRANIF0 */ -#line 1574 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1616 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7580 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7612 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 397: /* pass_switchtype: KW_TRAN */ -#line 1579 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1621 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7587 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7619 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 398: /* pass_switchtype: KW_RTRAN */ -#line 1581 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1623 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7594 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7626 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 399: /* parameter_value_assignment_option: %empty */ -#line 1590 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1632 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7601 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7633 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 400: /* parameter_value_assignment_option: parameter_value_assignment */ -#line 1592 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1634 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7608 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7640 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 401: /* module_instance_list: module_instance */ -#line 1597 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1639 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7615 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7647 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 402: /* module_instance_list: module_instance_list ',' module_instance */ -#line 1599 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1641 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7622 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7654 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 403: /* module_instantiation: module_identifier parameter_value_assignment_option module_instance_list ';' */ -#line 1604 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1646 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7629 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7661 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 404: /* parameter_value_assignment: '#' '(' list_of_parameter_assignments ')' */ -#line 1609 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1651 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7636 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7668 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 405: /* ordered_parameter_assignment_list: ordered_parameter_assignment */ -#line 1614 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1656 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7643 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7675 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 406: /* ordered_parameter_assignment_list: ordered_parameter_assignment_list ',' ordered_parameter_assignment */ -#line 1616 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1658 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7650 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7682 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 407: /* named_parameter_assignment_list: named_parameter_assignment */ -#line 1621 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1663 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7657 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7689 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 408: /* named_parameter_assignment_list: named_parameter_assignment_list ',' named_parameter_assignment */ -#line 1623 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1665 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7664 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7696 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 409: /* list_of_parameter_assignments: ordered_parameter_assignment_list */ -#line 1628 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1670 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7671 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7703 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 410: /* list_of_parameter_assignments: named_parameter_assignment_list */ -#line 1630 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1672 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7678 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7710 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 411: /* ordered_parameter_assignment: expression */ -#line 1635 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1677 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7685 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7717 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 412: /* mintypmax_expression_option: %empty */ -#line 1640 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1682 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7692 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7724 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 413: /* mintypmax_expression_option: mintypmax_expression */ -#line 1642 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1684 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7699 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7731 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 414: /* named_parameter_assignment: '.' parameter_identifier '(' mintypmax_expression_option ')' */ -#line 1647 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1689 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7706 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7738 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 415: /* list_of_port_connections_option: %empty */ -#line 1652 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1694 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7713 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7745 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 416: /* list_of_port_connections_option: list_of_port_connections */ -#line 1654 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1696 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7720 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7752 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 417: /* module_instance: name_of_module_instance '(' list_of_port_connections_option ')' */ -#line 1659 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1701 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7727 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7759 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 418: /* name_of_module_instance: module_instance_identifier range_option */ -#line 1664 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1706 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7734 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7766 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 419: /* ordered_port_connection_list: ordered_port_connection */ -#line 1669 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1711 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7741 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7773 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 420: /* ordered_port_connection_list: ordered_port_connection_list ',' ordered_port_connection */ -#line 1671 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1713 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7748 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7780 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 421: /* named_port_connection_list: named_port_connection */ -#line 1676 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1718 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7755 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7787 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 422: /* named_port_connection_list: named_port_connection_list ',' named_port_connection */ -#line 1678 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1720 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7762 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7794 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 423: /* list_of_port_connections: ordered_port_connection_list */ -#line 1684 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1726 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7769 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7801 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 424: /* list_of_port_connections: named_port_connection_list */ -#line 1686 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1728 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7776 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7808 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 425: /* expression_option: %empty */ -#line 1691 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1733 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7783 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7815 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 426: /* expression_option: expression */ -#line 1693 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1735 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7790 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7822 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 427: /* ordered_port_connection: attribute_instance_list expression_option */ -#line 1698 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1740 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7797 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7829 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 428: /* named_port_connection: attribute_instance_list '.' port_identifier '(' expression_option ')' */ -#line 1703 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1745 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7804 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7836 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 429: /* module_or_generate_item_list: %empty */ -#line 1712 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1754 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7811 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7843 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 430: /* module_or_generate_item_list: module_or_generate_item */ -#line 1714 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1756 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7818 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7850 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 431: /* module_or_generate_item_list: module_or_generate_item_list module_or_generate_item */ -#line 1716 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1758 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7825 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7857 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 432: /* generate_region: KW_GENERATE module_or_generate_item_list KW_ENDGENERATE */ -#line 1722 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1764 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7832 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7864 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 433: /* genvar_declaration: KW_GENVAR list_of_genvar_identifiers ';' */ -#line 1727 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1769 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7839 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7871 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 434: /* list_of_genvar_identifiers: genvar_identifier */ -#line 1732 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1774 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7846 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7878 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 435: /* list_of_genvar_identifiers: list_of_genvar_identifiers ',' genvar_identifier */ -#line 1734 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1776 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7853 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7885 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 436: /* loop_generate_construct: KW_FOR '(' genvar_initialization ';' genvar_expression ';' genvar_iteration ')' generate_block */ -#line 1740 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1782 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7860 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7892 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 437: /* genvar_initialization: genvar_identifier '=' constant_expression */ -#line 1745 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1787 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7867 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7899 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 438: /* genvar_expression: genvar_primary */ -#line 1750 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1792 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7874 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7906 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 439: /* genvar_expression: unary_operator attribute_instance_list genvar_primary */ -#line 1752 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1794 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7881 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7913 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 440: /* genvar_expression: genvar_expression binary_operator attribute_instance_list genvar_expression */ -#line 1754 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1796 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7888 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7920 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 441: /* genvar_expression: genvar_expression '?' attribute_instance_list genvar_expression ':' genvar_expression */ -#line 1756 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1798 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7895 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7927 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 442: /* genvar_iteration: genvar_identifier '=' genvar_expression */ -#line 1761 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1803 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7902 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7934 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 443: /* genvar_primary: constant_primary */ -#line 1766 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1808 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7909 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7941 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 444: /* genvar_primary: genvar_identifier */ -#line 1768 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1810 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7916 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7948 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 445: /* conditional_generate_construct: if_generate_construct */ -#line 1773 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1815 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7923 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7955 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 446: /* conditional_generate_construct: case_generate_construct */ -#line 1775 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1817 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7930 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7962 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 447: /* if_generate_construct: KW_IF '(' constant_expression ')' generate_block_or_null */ -#line 1780 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1822 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7937 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7969 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 448: /* if_generate_construct: KW_IF '(' constant_expression ')' generate_block_or_null KW_ELSE generate_block_or_null */ -#line 1782 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1824 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7944 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7976 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 449: /* case_generate_item_list: case_generate_item */ -#line 1788 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1830 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7951 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7983 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 450: /* case_generate_item_list: case_generate_item_list case_generate_item */ -#line 1790 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1832 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7958 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7990 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 451: /* case_generate_construct: KW_CASE '(' constant_expression ')' case_generate_item_list KW_ENDCASE */ -#line 1795 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1837 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7965 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 7997 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 452: /* constant_expression_list: constant_expression */ -#line 1800 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1842 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7972 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8004 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 453: /* constant_expression_list: constant_expression_list constant_expression */ -#line 1802 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1844 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7979 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8011 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 454: /* case_generate_item: constant_expression_list ':' generate_block_or_null */ -#line 1807 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1849 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7986 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8018 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 455: /* case_generate_item: KW_DEFAULT generate_block_or_null */ -#line 1809 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1851 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 7993 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8025 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 456: /* case_generate_item: KW_DEFAULT ':' generate_block_or_null */ -#line 1811 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1853 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8000 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8032 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 457: /* module_or_generate_item_list: %empty */ -#line 1816 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1858 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8007 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8039 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 458: /* module_or_generate_item_list: module_or_generate_item_list module_or_generate_item */ -#line 1818 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1860 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8014 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8046 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 459: /* module_or_generate_item_list: module_or_generate_item */ -#line 1820 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1862 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8021 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8053 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 460: /* generate_block: module_or_generate_item */ -#line 1825 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1867 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8028 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8060 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 461: /* generate_block: KW_BEGIN ':' generate_block_identifier module_or_generate_item_list KW_END */ -#line 1827 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1869 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8035 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8067 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 462: /* generate_block: KW_BEGIN module_or_generate_item_list KW_END */ -#line 1829 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1871 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8042 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8074 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 463: /* generate_block_or_null: generate_block */ -#line 1834 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1876 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8049 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8081 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 464: /* generate_block_or_null: ';' */ -#line 1836 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1878 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8056 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8088 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 465: /* udp_port_declaration_list: udp_port_declaration_list udp_port_declaration */ -#line 1846 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1888 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8063 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8095 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 466: /* udp_port_declaration_list: udp_port_declaration */ -#line 1848 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1890 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8070 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8102 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 467: /* udp_declaration: attribute_instance_list KW_PRIMITIVE udp_identifier '(' udp_port_list ')' ';' udp_port_declaration_list udp_body KW_ENDPRIMITIVE */ -#line 1856 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1898 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8077 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8109 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 468: /* udp_declaration: attribute_instance_list KW_PRIMITIVE udp_identifier '(' udp_declaration_port_list ')' ';' udp_body KW_ENDPRIMITIVE */ -#line 1860 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1902 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8084 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8116 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 469: /* udp_port_list: output_port_identifier ',' input_port_identifier */ -#line 1869 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1911 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8091 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8123 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 470: /* udp_port_list: udp_port_list ',' input_port_identifier */ -#line 1871 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1913 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8098 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8130 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 471: /* udp_declaration_port_list: udp_output_declaration ',' udp_input_declaration */ -#line 1876 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1918 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8105 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8137 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 472: /* udp_declaration_port_list: udp_declaration_port_list ',' udp_input_declaration */ -#line 1878 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1920 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8112 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8144 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 473: /* udp_port_declaration: udp_output_declaration ';' */ -#line 1883 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1925 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8119 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8151 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 474: /* udp_port_declaration: udp_input_declaration ';' */ -#line 1885 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1927 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8126 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8158 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 475: /* udp_port_declaration: udp_reg_declaration ';' */ -#line 1887 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1929 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8133 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8165 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 476: /* udp_output_declaration: attribute_instance_list KW_OUTPUT port_identifier */ -#line 1892 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1934 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8140 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8172 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 477: /* udp_output_declaration: attribute_instance_list KW_OUTPUT KW_REG port_identifier */ -#line 1894 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1936 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8147 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8179 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 478: /* udp_output_declaration: attribute_instance_list KW_OUTPUT KW_REG port_identifier '=' constant_expression */ -#line 1896 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1938 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8154 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8186 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 479: /* udp_input_declaration: attribute_instance_list KW_INPUT list_of_port_identifiers */ -#line 1901 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1943 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8161 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8193 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 480: /* udp_reg_declaration: attribute_instance_list KW_REG variable_identifier */ -#line 1906 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1948 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8168 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8200 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 481: /* udp_body: combinational_body */ -#line 1915 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1957 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8175 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8207 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 482: /* udp_body: sequential_body */ -#line 1917 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1959 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8182 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8214 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 483: /* combinational_entry_list: combinational_entry */ -#line 1922 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1964 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8189 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8221 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 484: /* combinational_entry_list: combinational_entry_list combinational_entry */ -#line 1924 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1966 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8196 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8228 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 485: /* combinational_body: KW_TABLE combinational_entry_list KW_ENDTABLE */ -#line 1929 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1971 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8203 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8235 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 486: /* combinational_entry: level_input_list ':' output_symbol ';' */ -#line 1934 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1976 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8210 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8242 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 487: /* sequential_entry_list: sequential_entry */ -#line 1939 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1981 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8217 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8249 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 488: /* sequential_entry_list: sequential_entry_list sequential_entry */ -#line 1941 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1983 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8224 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8256 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 489: /* sequential_body: KW_TABLE sequential_entry_list KW_ENDTABLE */ -#line 1946 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1988 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8231 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8263 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 490: /* sequential_body: udp_initial_statement KW_TABLE sequential_entry_list KW_ENDTABLE */ -#line 1948 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1990 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8238 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8270 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 491: /* udp_initial_statement: KW_INITIAL output_port_identifier '=' init_val ';' */ -#line 1953 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 1995 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8245 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8277 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 492: /* init_val: number */ -#line 1958 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2000 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8252 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8284 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 493: /* sequential_entry: seq_input_list ':' current_state ':' next_state ';' */ -#line 1964 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2006 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8259 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8291 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 494: /* seq_input_list: level_input_list */ -#line 1968 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2010 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8266 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8298 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 495: /* seq_input_list: edge_input_list */ -#line 1970 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2012 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8273 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8305 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 496: /* level_input_list: level_symbol */ -#line 1975 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2017 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8280 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8312 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 497: /* level_input_list: level_input_list level_symbol */ -#line 1977 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2019 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8287 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8319 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 498: /* level_symbol_list: %empty */ -#line 1982 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2024 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8294 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8326 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 499: /* level_symbol_list: level_symbol */ -#line 1984 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2026 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8301 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8333 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 500: /* level_symbol_list: level_symbol_list level_symbol */ -#line 1986 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2028 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8308 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8340 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 501: /* edge_input_list: level_symbol_list edge_indicator level_symbol_list */ -#line 1991 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2033 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8315 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8347 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 502: /* edge_indicator: '(' level_symbol level_symbol ')' */ -#line 1996 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2038 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8322 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8354 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 503: /* edge_indicator: edge_symbol */ -#line 1998 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2040 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8329 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8361 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 504: /* current_state: level_symbol */ -#line 2003 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2045 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8336 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8368 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 505: /* next_state: output_symbol */ -#line 2008 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2050 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8343 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8375 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 506: /* next_state: '-' */ -#line 2010 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2052 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8350 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8382 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 507: /* output_symbol: unsigned_number */ -#line 2016 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2058 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8357 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8389 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 508: /* output_symbol: '?' */ -#line 2018 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2060 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8364 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8396 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 509: /* output_symbol: SIMPLE_ID */ -#line 2020 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2062 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8371 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8403 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 510: /* level_symbol: unsigned_number */ -#line 2025 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2067 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8378 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8410 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 511: /* level_symbol: '?' */ -#line 2027 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2069 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8385 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8417 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 512: /* level_symbol: SIMPLE_ID */ -#line 2029 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2071 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8392 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8424 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 513: /* edge_symbol: SIMPLE_ID */ -#line 2034 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2076 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8399 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8431 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 514: /* edge_symbol: '*' */ -#line 2036 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2078 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8406 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8438 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 515: /* udp_instance_list: udp_instance */ -#line 2052 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2094 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8413 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8445 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 516: /* udp_instance_list: udp_instance_list ',' udp_instance */ -#line 2054 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2096 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8420 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8452 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 517: /* udp_instantiation: udp_identifier drive_strength_option delay2_option udp_instance_list ';' */ -#line 2061 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2103 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8427 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8459 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 518: /* input_terminal_list: input_terminal */ -#line 2066 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2108 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8434 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8466 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 519: /* input_terminal_list: input_terminal_list ',' input_terminal */ -#line 2068 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2110 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8441 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8473 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 520: /* udp_instance: name_of_udp_instance '(' output_terminal ',' input_terminal_list ')' */ -#line 2074 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2116 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8448 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8480 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 521: /* udp_instance: '(' output_terminal ',' input_terminal_list ')' */ -#line 2076 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2118 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8455 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8487 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 522: /* name_of_udp_instance: udp_instance_identifier range_option */ -#line 2081 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2123 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8462 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8494 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 523: /* continuous_assign: KW_ASSIGN drive_strength_option delay3_option list_of_net_assignments ';' */ -#line 2090 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2132 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8469 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8501 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 524: /* list_of_net_assignments: net_assignment */ -#line 2095 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2137 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8476 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8508 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 525: /* list_of_net_assignments: list_of_net_assignments ',' net_assignment */ -#line 2097 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2139 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8483 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8515 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 526: /* net_assignment: net_lvalue '=' expression */ -#line 2102 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2144 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8490 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8522 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 527: /* initial_construct: KW_INITIAL statement */ -#line 2110 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2152 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8497 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8529 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 528: /* always_construct: KW_ALWAYS statement */ -#line 2115 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2157 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8504 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8536 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 529: /* delay_or_event_control_option: %empty */ -#line 2120 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2162 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8511 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8543 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 530: /* delay_or_event_control_option: delay_or_event_control */ -#line 2122 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2164 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8518 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8550 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 531: /* blocking_assignment: variable_lvalue '=' expression */ -#line 2127 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2169 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8525 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8557 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 532: /* blocking_assignment: variable_lvalue '=' delay_or_event_control_option expression */ -#line 2129 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2171 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8532 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8564 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 533: /* nonblocking_assignment: variable_lvalue LTE delay_or_event_control_option expression */ -#line 2134 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2176 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8539 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8571 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 534: /* procedural_continuous_assignments: KW_ASSIGN variable_assignment */ -#line 2138 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2180 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8546 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8578 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 535: /* procedural_continuous_assignments: KW_DEASSIGN variable_lvalue */ -#line 2140 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2182 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8553 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8585 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 536: /* procedural_continuous_assignments: KW_FORCE variable_assignment */ -#line 2142 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2184 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8560 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8592 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 537: /* procedural_continuous_assignments: KW_FORCE net_assignment */ -#line 2144 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2186 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8567 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8599 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 538: /* procedural_continuous_assignments: KW_RELEASE variable_lvalue */ -#line 2146 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2188 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8574 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8606 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 539: /* procedural_continuous_assignments: KW_RELEASE net_lvalue */ -#line 2148 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2190 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8581 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8613 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 540: /* variable_assignment: variable_lvalue '=' expression */ -#line 2153 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2195 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8588 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8620 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 541: /* block_item_declaration_list: %empty */ -#line 2161 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2203 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8595 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8627 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 542: /* block_item_declaration_list: block_item_declaration */ -#line 2163 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2205 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8602 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8634 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 543: /* block_item_declaration_list: block_item_declaration_list block_item_declaration */ -#line 2165 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2207 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8609 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8641 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 544: /* statement_list: %empty */ -#line 2170 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2212 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8616 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8648 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 545: /* statement_list: statement */ -#line 2172 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2214 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8623 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8655 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 546: /* statement_list: statement_list statement */ -#line 2174 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2216 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8630 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8662 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 547: /* par_block: KW_FORK statement_list KW_JOIN */ -#line 2179 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2221 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8637 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8669 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 548: /* par_block: KW_FORK ':' block_identifier block_item_declaration_list statement_list KW_JOIN */ -#line 2181 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2223 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8644 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8676 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 549: /* seq_block: KW_BEGIN statement_list KW_END */ -#line 2186 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2228 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8651 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8683 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 550: /* seq_block: KW_BEGIN ':' block_identifier block_item_declaration_list statement_list KW_END */ -#line 2188 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2230 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8658 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8690 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 551: /* statement: attribute_instance_list blocking_assignment ';' */ -#line 2196 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2238 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8665 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8697 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 552: /* statement: attribute_instance_list case_statement */ -#line 2198 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2240 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8672 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8704 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 553: /* statement: attribute_instance_list conditional_statement */ -#line 2200 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2242 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8679 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8711 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 554: /* statement: attribute_instance_list disable_statement */ -#line 2202 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2244 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8686 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8718 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 555: /* statement: attribute_instance_list event_trigger */ -#line 2204 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2246 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8693 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8725 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 556: /* statement: attribute_instance_list loop_statement */ -#line 2206 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2248 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8700 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8732 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 557: /* statement: attribute_instance_list nonblocking_assignment ';' */ -#line 2208 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2250 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8707 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8739 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 558: /* statement: attribute_instance_list par_block */ -#line 2210 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2252 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8714 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8746 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 559: /* statement: attribute_instance_list procedural_continuous_assignments ';' */ -#line 2212 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2254 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8721 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8753 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 560: /* statement: attribute_instance_list procedural_timing_control_statement */ -#line 2214 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2256 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8728 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8760 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 561: /* statement: attribute_instance_list seq_block */ -#line 2216 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2258 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8735 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8767 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 562: /* statement: attribute_instance_list system_task_enable */ -#line 2218 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2260 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8742 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8774 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 563: /* statement: attribute_instance_list task_enable */ -#line 2220 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2262 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8749 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8781 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 564: /* statement: attribute_instance_list wait_statement */ -#line 2222 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2264 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8756 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8788 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 565: /* statement_or_null: statement */ -#line 2227 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2269 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8763 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8795 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 566: /* statement_or_null: attribute_instance_list ';' */ -#line 2229 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2271 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8770 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8802 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 567: /* function_statement: statement */ -#line 2234 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2276 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8777 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8809 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 568: /* delay_control: '#' delay_value */ -#line 2242 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2284 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8784 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8816 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 569: /* delay_control: '#' '(' mintypmax_expression ')' */ -#line 2244 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2286 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8791 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8823 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 570: /* delay_or_event_control: delay_control */ -#line 2249 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2291 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8798 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8830 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 571: /* delay_or_event_control: event_control */ -#line 2251 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2293 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8805 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8837 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 572: /* delay_or_event_control: KW_REPEAT '(' expression ')' event_control */ -#line 2253 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2295 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8812 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8844 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 573: /* disable_statement: KW_DISABLE hierarchical_task_identifier ';' */ -#line 2258 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2300 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8819 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8851 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 574: /* disable_statement: KW_DISABLE hierarchical_block_identifier ';' */ -#line 2260 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2302 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8826 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8858 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 575: /* event_control: '@' hierarchical_event_identifier */ -#line 2265 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2307 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8833 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8865 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 576: /* event_control: '@' '(' event_expression ')' */ -#line 2267 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2309 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8840 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8872 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 577: /* event_control: '@' '*' */ -#line 2269 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2311 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8847 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8879 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 578: /* event_control: '@' '(' '*' ')' */ -#line 2271 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2313 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8854 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8886 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 579: /* script_list: %empty */ -#line 2276 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2318 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8861 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8893 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 580: /* script_list: '[' expression ']' */ -#line 2278 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2320 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8868 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8900 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 581: /* script_list: script_list '[' expression ']' */ -#line 2280 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2322 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8875 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8907 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 582: /* event_trigger: '-' '>' hierarchical_event_identifier script_list ';' */ -#line 2285 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2327 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8882 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8914 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 583: /* event_expression: expression */ -#line 2290 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2332 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8889 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8921 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 584: /* event_expression: KW_POSEDGE expression */ -#line 2292 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2334 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8896 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8928 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 585: /* event_expression: KW_NEGEDGE expression */ -#line 2294 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2336 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8903 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8935 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 586: /* event_expression: event_expression KW_OR event_expression */ -#line 2296 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2338 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8910 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8942 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 587: /* event_expression: event_expression ',' event_expression */ -#line 2298 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2340 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8917 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8949 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 588: /* procedural_timing_control: delay_control */ -#line 2303 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2345 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8924 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8956 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 589: /* procedural_timing_control: event_control */ -#line 2305 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2347 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8931 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8963 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 590: /* procedural_timing_control_statement: procedural_timing_control statement_or_null */ -#line 2310 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2352 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8938 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8970 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 591: /* wait_statement: KW_WAIT '(' expression ')' statement_or_null */ -#line 2315 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2357 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8945 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8977 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 592: /* conditional_statement: KW_IF '(' expression ')' statement_or_null KW_ELSE statement_or_null */ -#line 2324 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2366 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8952 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8984 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 593: /* conditional_statement: KW_IF '(' expression ')' statement_or_null */ -#line 2327 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2369 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8959 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8991 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 594: /* conditional_statement: if_else_if_statement */ -#line 2329 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2371 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8966 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 8998 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 595: /* else_if_list: %empty */ -#line 2334 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2376 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8973 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9005 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 596: /* else_if_list: KW_ELSE KW_IF '(' expression ')' statement_or_null */ -#line 2336 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2378 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8980 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9012 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 597: /* else_if_list: else_if_list KW_ELSE KW_IF '(' expression ')' statement_or_null */ -#line 2338 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2380 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8987 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9019 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 598: /* if_else_if_statement: KW_IF '(' expression ')' statement_or_null else_if_list KW_ELSE statement_or_null */ -#line 2345 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2387 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 8994 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9026 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 599: /* if_else_if_statement: KW_IF '(' expression ')' statement_or_null else_if_list */ -#line 2348 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2390 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9001 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9033 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 600: /* case_item_list: case_item */ -#line 2356 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2398 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9008 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9040 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 601: /* case_item_list: case_item_list case_item */ -#line 2358 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2400 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9015 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9047 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 602: /* case_type: KW_CASE */ -#line 2363 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2405 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9022 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9054 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 603: /* case_type: KW_CASEZ */ -#line 2365 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2407 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9029 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9061 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 604: /* case_type: KW_CASEX */ -#line 2367 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2409 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9036 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9068 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 605: /* case_statement: case_type '(' expression ')' case_item_list KW_ENDCASE */ -#line 2372 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2414 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9043 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9075 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 606: /* expression_list: expression */ -#line 2377 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2419 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9050 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9082 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 607: /* expression_list: expression_list ',' expression */ -#line 2379 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2421 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9057 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9089 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 608: /* case_item: expression_list ':' statement_or_null */ -#line 2384 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2426 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9064 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9096 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 609: /* case_item: KW_DEFAULT ':' statement_or_null */ -#line 2386 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2428 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9071 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9103 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 610: /* case_item: KW_DEFAULT statement_or_null */ -#line 2388 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2430 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9078 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9110 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 611: /* loop_statement: KW_FOREVER statement */ -#line 2396 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2438 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9085 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9117 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 612: /* loop_statement: KW_REPEAT '(' expression ')' statement */ -#line 2398 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2440 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9092 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9124 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 613: /* loop_statement: KW_WHILE '(' expression ')' statement */ -#line 2400 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2442 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9099 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9131 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 614: /* loop_statement: KW_FOR '(' variable_assignment ';' expression ';' variable_assignment ')' statement */ -#line 2402 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2444 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9106 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9138 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 615: /* expression_or_null_list: %empty */ -#line 2410 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2452 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9113 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9145 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 616: /* expression_or_null_list: expression */ -#line 2412 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2454 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9120 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9152 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 617: /* expression_or_null_list: expression_or_null_list ',' */ -#line 2414 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2456 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9127 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9159 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 618: /* expression_or_null_list: expression_or_null_list ',' expression */ -#line 2416 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2458 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9134 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9166 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 619: /* system_task_enable: SYSTEM_ID ';' */ -#line 2421 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2463 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9141 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9173 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 620: /* system_task_enable: SYSTEM_ID '(' expression_or_null_list ')' ';' */ -#line 2423 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2465 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9148 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9180 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 621: /* task_enable: hierarchical_task_identifier ';' */ -#line 2428 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2470 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9155 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9187 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 622: /* task_enable: hierarchical_task_identifier '(' expression_list ')' ';' */ -#line 2430 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2472 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9162 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9194 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 623: /* specify_item_list: %empty */ -#line 2439 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2481 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9169 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9201 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 624: /* specify_item_list: specify_item */ -#line 2441 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2483 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9176 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9208 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 625: /* specify_item_list: specify_item_list specify_item */ -#line 2443 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2485 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9183 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9215 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 626: /* specify_block: KW_SPECIFY specify_item_list KW_ENDSPECIFY */ -#line 2448 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2490 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9190 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9222 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 627: /* specify_item: specparam_declaration */ -#line 2453 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2495 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9197 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9229 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 628: /* specify_item: pulsestyle_declaration */ -#line 2455 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2497 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9204 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9236 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 629: /* specify_item: showcancelled_declaration */ -#line 2457 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2499 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9211 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9243 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 630: /* specify_item: path_declaration */ -#line 2459 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2501 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9218 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9250 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 631: /* specify_item: system_timing_check */ -#line 2461 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2503 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9225 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9257 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 632: /* pulsestyle_declaration: KW_PULSESTYLE_ONEVENT list_of_path_outputs ';' */ -#line 2466 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2508 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9232 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9264 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 633: /* pulsestyle_declaration: KW_PULSESTYLE_ONDETECT list_of_path_outputs ';' */ -#line 2468 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2510 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9239 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9271 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 634: /* showcancelled_declaration: KW_SHOWCANCELLED list_of_path_outputs ';' */ -#line 2473 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2515 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9246 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9278 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 635: /* showcancelled_declaration: KW_NOSHOWCANCELLED list_of_path_outputs ';' */ -#line 2475 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2517 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9253 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9285 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 636: /* path_declaration: simple_path_declaration ';' */ -#line 2483 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2525 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9260 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9292 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 637: /* path_declaration: edge_sensitive_path_declaration ';' */ -#line 2485 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2527 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9267 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9299 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 638: /* path_declaration: state_dependent_path_declaration ';' */ -#line 2487 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2529 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9274 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9306 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 639: /* simple_path_declaration: parallel_path_description '=' path_delay_value */ -#line 2492 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2534 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9281 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9313 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 640: /* simple_path_declaration: full_path_description '=' path_delay_value */ -#line 2494 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2536 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9288 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9320 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 641: /* polarity_operator_option: %empty */ -#line 2499 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2541 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9295 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9327 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 642: /* polarity_operator_option: polarity_operator */ -#line 2501 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2543 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9302 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9334 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 643: /* parallel_path_description: '(' specify_input_terminal_descriptor polarity_operator_option '=' '>' specify_output_terminal_descriptor ')' */ -#line 2506 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2548 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9309 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9341 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 644: /* full_path_description: '(' list_of_path_inputs polarity_operator_option '*' '>' list_of_path_outputs ')' */ -#line 2511 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2553 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9316 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9348 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 645: /* list_of_path_inputs: specify_input_terminal_descriptor */ -#line 2516 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2558 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9323 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9355 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 646: /* list_of_path_inputs: list_of_path_inputs ',' specify_input_terminal_descriptor */ -#line 2518 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2560 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9330 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9362 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 647: /* list_of_path_outputs: specify_output_terminal_descriptor */ -#line 2523 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2565 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9337 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9369 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 648: /* list_of_path_outputs: list_of_path_outputs ',' specify_output_terminal_descriptor */ -#line 2525 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2567 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9344 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9376 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 649: /* specify_input_terminal_descriptor: input_identifier */ -#line 2533 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2575 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9351 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9383 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 650: /* specify_input_terminal_descriptor: input_identifier '[' constant_range_expression ']' */ -#line 2535 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2577 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9358 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9390 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 651: /* specify_output_terminal_descriptor: output_identifier */ -#line 2540 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2582 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9365 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9397 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 652: /* specify_output_terminal_descriptor: output_identifier '[' constant_range_expression ']' */ -#line 2542 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2584 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9372 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9404 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 653: /* input_identifier: input_port_identifier */ -#line 2547 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2589 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9379 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9411 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 654: /* input_identifier: inout_port_identifier */ -#line 2549 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2591 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9386 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9418 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 655: /* output_identifier: output_port_identifier */ -#line 2554 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2596 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9393 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9425 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 656: /* output_identifier: inout_port_identifier */ -#line 2556 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2598 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9400 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9432 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 657: /* path_delay_value: list_of_path_delay_expressions */ -#line 2564 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2606 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9407 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9439 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 658: /* path_delay_value: '(' list_of_path_delay_expressions ')' */ -#line 2566 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2608 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9414 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9446 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 659: /* list_of_path_delay_expressions: t_path_delay_expression */ -#line 2571 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2613 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9421 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9453 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 660: /* list_of_path_delay_expressions: trise_path_delay_expression ',' tfall_path_delay_expression */ -#line 2573 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2615 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9428 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9460 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 661: /* list_of_path_delay_expressions: trise_path_delay_expression ',' tfall_path_delay_expression ',' tz_path_delay_expression */ -#line 2575 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2617 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9435 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9467 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 662: /* list_of_path_delay_expressions: t01_path_delay_expression ',' t10_path_delay_expression ',' t0z_path_delay_expression ',' tz1_path_delay_expression ',' t1z_path_delay_expression ',' tz0_path_delay_expression */ -#line 2578 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2620 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9442 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9474 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 663: /* list_of_path_delay_expressions: t01_path_delay_expression ',' t10_path_delay_expression ',' t0z_path_delay_expression ',' tz1_path_delay_expression ',' t1z_path_delay_expression ',' tz0_path_delay_expression ',' t0x_path_delay_expression ',' tx1_path_delay_expression ',' t1x_path_delay_expression ',' tx0_path_delay_expression ',' txz_path_delay_expression ',' tzx_path_delay_expression */ -#line 2583 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2625 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9449 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9481 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 664: /* t_path_delay_expression: path_delay_expression */ -#line 2588 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2630 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9456 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9488 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 665: /* trise_path_delay_expression: path_delay_expression */ -#line 2593 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2635 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9463 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9495 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 666: /* tfall_path_delay_expression: path_delay_expression */ -#line 2598 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2640 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9470 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9502 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 667: /* tz_path_delay_expression: path_delay_expression */ -#line 2603 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2645 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9477 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9509 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 668: /* t01_path_delay_expression: path_delay_expression */ -#line 2608 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2650 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9484 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9516 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 669: /* t10_path_delay_expression: path_delay_expression */ -#line 2613 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2655 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9491 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9523 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 670: /* t0z_path_delay_expression: path_delay_expression */ -#line 2618 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2660 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9498 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9530 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 671: /* tz1_path_delay_expression: path_delay_expression */ -#line 2623 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2665 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9505 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9537 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 672: /* t1z_path_delay_expression: path_delay_expression */ -#line 2628 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2670 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9512 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9544 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 673: /* tz0_path_delay_expression: path_delay_expression */ -#line 2633 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2675 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9519 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9551 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 674: /* t0x_path_delay_expression: path_delay_expression */ -#line 2637 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2679 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9526 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9558 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 675: /* tx1_path_delay_expression: path_delay_expression */ -#line 2642 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2684 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9533 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9565 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 676: /* t1x_path_delay_expression: path_delay_expression */ -#line 2647 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2689 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9540 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9572 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 677: /* tx0_path_delay_expression: path_delay_expression */ -#line 2651 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2693 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9547 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9579 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 678: /* txz_path_delay_expression: path_delay_expression */ -#line 2656 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2698 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9554 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9586 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 679: /* tzx_path_delay_expression: path_delay_expression */ -#line 2661 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2703 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9561 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9593 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 680: /* path_delay_expression: constant_mintypmax_expression */ -#line 2666 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2708 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9568 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9600 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 681: /* edge_sensitive_path_declaration: parallel_edge_sensitive_path_description '=' path_delay_value */ -#line 2671 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2713 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9575 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9607 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 682: /* edge_sensitive_path_declaration: full_edge_sensitive_path_description '=' path_delay_value */ -#line 2673 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2715 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9582 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9614 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 683: /* edge_identifier_option: %empty */ -#line 2678 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2720 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9589 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9621 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 684: /* edge_identifier_option: edge_identifier */ -#line 2680 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2722 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9596 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9628 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 685: /* polarity_operator_option: %empty */ -#line 2685 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2727 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9603 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9635 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 686: /* polarity_operator_option: polarity_operator */ -#line 2687 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2729 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9610 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9642 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 687: /* parallel_edge_sensitive_path_description: '(' edge_identifier_option specify_input_terminal_descriptor '=' '>' '(' specify_output_terminal_descriptor polarity_operator_option ':' data_source_expression ')' ')' */ -#line 2692 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2734 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9617 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9649 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 688: /* full_edge_sensitive_path_description: '(' edge_identifier_option list_of_path_inputs '*' '>' '(' list_of_path_outputs polarity_operator_option ':' data_source_expression ')' ')' */ -#line 2698 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2740 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9624 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9656 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 689: /* data_source_expression: expression */ -#line 2703 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2745 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9631 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9663 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 690: /* edge_identifier: KW_POSEDGE */ -#line 2708 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2750 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9638 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9670 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 691: /* edge_identifier: KW_NEGEDGE */ -#line 2710 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2752 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9645 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9677 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 692: /* state_dependent_path_declaration: KW_IF '(' module_path_expression ')' simple_path_declaration */ -#line 2715 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2757 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9652 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9684 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 693: /* state_dependent_path_declaration: KW_IF '(' module_path_expression ')' edge_sensitive_path_declaration */ -#line 2717 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2759 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9659 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9691 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 694: /* state_dependent_path_declaration: KW_IFNONE simple_path_declaration */ -#line 2719 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2761 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9666 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9698 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 695: /* polarity_operator: '+' */ -#line 2724 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2766 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9673 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9705 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 696: /* polarity_operator: '-' */ -#line 2726 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2768 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9680 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9712 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 697: /* system_timing_check: setup_timing_check */ -#line 2736 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2778 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9687 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9719 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 698: /* system_timing_check: hold_timing_check */ -#line 2738 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2780 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9694 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9726 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 699: /* system_timing_check: setuphold_timing_check */ -#line 2740 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2782 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9701 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9733 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 700: /* system_timing_check: recovery_timing_check */ -#line 2742 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2784 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9708 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9740 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 701: /* system_timing_check: removal_timing_check */ -#line 2744 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2786 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9715 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9747 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 702: /* system_timing_check: recrem_timing_check */ -#line 2746 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2788 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9722 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9754 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 703: /* system_timing_check: skew_timing_check */ -#line 2748 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2790 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9729 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9761 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 704: /* system_timing_check: timeskew_timing_check */ -#line 2750 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2792 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9736 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9768 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 705: /* system_timing_check: fullskew_timing_check */ -#line 2752 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2794 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9743 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9775 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 706: /* system_timing_check: period_timing_check */ -#line 2754 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2796 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9750 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9782 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 707: /* system_timing_check: width_timing_check */ -#line 2756 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2798 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9757 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9789 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 708: /* system_timing_check: nochange_timing_check */ -#line 2758 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2800 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9764 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9796 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 709: /* notifier_or_null: %empty */ -#line 2763 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2805 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9771 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9803 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 710: /* notifier_or_null: ',' */ -#line 2765 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2807 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9778 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9810 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 711: /* notifier_or_null: ',' notifier */ -#line 2767 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2809 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9785 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9817 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 712: /* setup_timing_check: KW_SYS_SETUP '(' data_event ',' reference_event ',' timing_check_limit notifier_or_null ')' ';' */ -#line 2772 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2814 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9792 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9824 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 713: /* hold_timing_check: KW_SYS_HOLD '(' reference_event ',' data_event ',' timing_check_limit notifier_or_null ')' ';' */ -#line 2777 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2819 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9799 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9831 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 714: /* notifier_option: %empty */ -#line 2782 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2824 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9806 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9838 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 715: /* notifier_option: notifier */ -#line 2784 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2826 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9813 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9845 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 716: /* stamptime_condition_option: %empty */ -#line 2789 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2831 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9820 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9852 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 717: /* stamptime_condition_option: stamptime_condition */ -#line 2791 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2833 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9827 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9859 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 718: /* checktime_condition_option: %empty */ -#line 2796 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2838 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9834 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9866 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 719: /* checktime_condition_option: checktime_condition */ -#line 2798 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2840 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9841 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9873 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 720: /* delayed_reference_option: %empty */ -#line 2803 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2845 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9848 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9880 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 721: /* delayed_reference_option: delayed_reference */ -#line 2805 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2847 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9855 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9887 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 722: /* delayed_data_option: %empty */ -#line 2810 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2852 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9862 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9894 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 723: /* delayed_data_option: delayed_data */ -#line 2812 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2854 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9869 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9901 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 724: /* setuphold_timing_check: KW_SYS_SETUPHOLD '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ')' ';' */ -#line 2818 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2860 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9876 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9908 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 725: /* setuphold_timing_check: KW_SYS_SETUPHOLD '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ')' ';' */ -#line 2822 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2864 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9883 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9915 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 726: /* setuphold_timing_check: KW_SYS_SETUPHOLD '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' stamptime_condition_option ')' ';' */ -#line 2827 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2869 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9890 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9922 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 727: /* setuphold_timing_check: KW_SYS_SETUPHOLD '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' stamptime_condition_option ',' checktime_condition_option ')' ';' */ -#line 2833 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2875 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9897 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9929 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 728: /* setuphold_timing_check: KW_SYS_SETUPHOLD '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' stamptime_condition_option ',' checktime_condition_option ',' delayed_reference_option ')' ';' */ -#line 2840 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2882 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9904 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9936 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 729: /* setuphold_timing_check: KW_SYS_SETUPHOLD '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' stamptime_condition_option ',' checktime_condition_option ',' delayed_reference_option ',' delayed_data_option ')' ';' */ -#line 2848 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2890 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9911 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9943 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 730: /* recovery_timing_check: KW_SYS_RECOVERY '(' reference_event ',' data_event ',' timing_check_limit notifier_or_null ')' ';' */ -#line 2853 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2895 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9918 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9950 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 731: /* removal_timing_check: KW_SYS_REMOVAL '(' reference_event ',' data_event ',' timing_check_limit notifier_or_null ')' ';' */ -#line 2858 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2900 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9925 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9957 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 732: /* recrem_timing_check: KW_SYS_RECREM '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ')' ';' */ -#line 2864 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2906 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9932 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9964 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 733: /* recrem_timing_check: KW_SYS_RECREM '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ')' ';' */ -#line 2868 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2910 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9939 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9971 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 734: /* recrem_timing_check: KW_SYS_RECREM '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' stamptime_condition_option ')' ';' */ -#line 2873 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2915 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9946 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9978 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 735: /* recrem_timing_check: KW_SYS_RECREM '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' stamptime_condition_option ',' checktime_condition_option ')' ';' */ -#line 2879 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2921 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9953 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9985 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 736: /* recrem_timing_check: KW_SYS_RECREM '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' stamptime_condition_option ',' checktime_condition_option ',' delayed_reference_option ')' ';' */ -#line 2886 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2928 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9960 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9992 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 737: /* recrem_timing_check: KW_SYS_RECREM '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' stamptime_condition_option ',' checktime_condition_option ',' delayed_reference_option ',' delayed_data_option ')' ';' */ -#line 2894 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2936 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9967 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 9999 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 738: /* skew_timing_check: KW_SYS_SKEW '(' reference_event ',' data_event ',' timing_check_limit notifier_or_null ')' ';' */ -#line 2899 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2941 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9974 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10006 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 739: /* timeskew_timing_check: KW_SYS_TIMESKEW '(' reference_event ',' data_event ',' timing_check_limit ')' ';' */ -#line 2905 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2947 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9981 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10013 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 740: /* timeskew_timing_check: KW_SYS_TIMESKEW '(' reference_event ',' data_event ',' timing_check_limit ',' notifier_option ')' ';' */ -#line 2909 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2951 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9988 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10020 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 741: /* timeskew_timing_check: KW_SYS_TIMESKEW '(' reference_event ',' data_event ',' timing_check_limit ',' notifier_option ',' event_based_flag_option ')' ';' */ -#line 2914 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2956 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 9995 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10027 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 742: /* timeskew_timing_check: KW_SYS_TIMESKEW '(' reference_event ',' data_event ',' timing_check_limit ',' notifier_option ',' event_based_flag_option ',' remain_active_flag_option ')' ';' */ -#line 2920 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2962 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10002 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10034 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 743: /* event_based_flag_option: %empty */ -#line 2925 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2967 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10009 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10041 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 744: /* event_based_flag_option: event_based_flag */ -#line 2927 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2969 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10016 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10048 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 745: /* remain_active_flag_option: %empty */ -#line 2932 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2974 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10023 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10055 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 746: /* remain_active_flag_option: remain_active_flag */ -#line 2934 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2976 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10030 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10062 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 747: /* threshold_option: %empty */ -#line 2939 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2981 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10037 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10069 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 748: /* threshold_option: threshold */ -#line 2941 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2983 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10044 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10076 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 749: /* fullskew_timing_check: KW_SYS_FULLSKEW '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ')' ';' */ -#line 2947 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2989 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10051 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10083 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 750: /* fullskew_timing_check: KW_SYS_FULLSKEW '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ')' ';' */ -#line 2951 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2993 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10058 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10090 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 751: /* fullskew_timing_check: KW_SYS_FULLSKEW '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' event_based_flag_option ')' ';' */ -#line 2957 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 2999 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10065 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10097 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 752: /* fullskew_timing_check: KW_SYS_FULLSKEW '(' reference_event ',' data_event ',' timing_check_limit ',' timing_check_limit ',' notifier_option ',' event_based_flag_option ',' remain_active_flag_option ')' ';' */ -#line 2963 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3005 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10072 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10104 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 753: /* period_timing_check: KW_SYS_PERIOD '(' controlled_reference_event ',' timing_check_limit notifier_or_null ')' ';' */ -#line 2968 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3010 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10079 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10111 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 754: /* width_timing_check: KW_SYS_WIDTH '(' controlled_reference_event ',' timing_check_limit ')' ';' */ -#line 2975 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3017 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10086 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10118 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 755: /* width_timing_check: KW_SYS_WIDTH '(' controlled_reference_event ',' timing_check_limit ',' threshold_option ')' ';' */ -#line 2979 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3021 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10093 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10125 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 756: /* width_timing_check: KW_SYS_WIDTH '(' controlled_reference_event ',' timing_check_limit ',' threshold_option ',' notifier_option ')' ';' */ -#line 2984 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3026 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10100 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10132 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 757: /* nochange_timing_check: KW_SYS_NOCHANGE '(' reference_event ',' data_event ',' start_edge_offset ',' end_edge_offset notifier_or_null ')' ';' */ -#line 2990 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3032 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10107 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10139 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 758: /* checktime_condition: mintypmax_expression */ -#line 2999 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3041 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10114 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10146 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 759: /* controlled_reference_event: controlled_timing_check_event */ -#line 3004 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3046 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10121 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10153 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 760: /* data_event: timing_check_event */ -#line 3009 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3051 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10128 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10160 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 761: /* delayed_data: terminal_identifier */ -#line 3014 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3056 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10135 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10167 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 762: /* delayed_data: terminal_identifier '[' constant_mintypmax_expression ']' */ -#line 3016 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3058 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10142 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10174 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 763: /* delayed_reference: terminal_identifier */ -#line 3021 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3063 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10149 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10181 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 764: /* delayed_reference: terminal_identifier '[' constant_mintypmax_expression ']' */ -#line 3023 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3065 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10156 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10188 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 765: /* end_edge_offset: mintypmax_expression */ -#line 3028 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3070 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10163 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10195 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 766: /* event_based_flag: constant_expression */ -#line 3033 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3075 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10170 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10202 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 767: /* notifier: variable_identifier */ -#line 3038 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3080 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10177 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10209 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 768: /* reference_event: timing_check_event */ -#line 3043 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3085 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10184 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10216 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 769: /* remain_active_flag: constant_expression */ -#line 3048 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3090 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10191 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10223 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 770: /* stamptime_condition: mintypmax_expression */ -#line 3053 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3095 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10198 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10230 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 771: /* start_edge_offset: mintypmax_expression */ -#line 3058 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3100 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10205 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10237 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 772: /* threshold: constant_expression */ -#line 3063 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3105 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10212 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10244 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 773: /* timing_check_limit: expression */ -#line 3068 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3110 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10219 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10251 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 774: /* timing_check_event_control_option: %empty */ -#line 3079 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3121 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10226 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10258 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 775: /* timing_check_event_control_option: timing_check_event_control */ -#line 3081 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3123 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10233 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10265 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 776: /* timing_check_event: timing_check_event_control_option specify_terminal_descriptor */ -#line 3086 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3128 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10240 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10272 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 777: /* timing_check_event: timing_check_event_control_option specify_terminal_descriptor L_AND '&' timing_check_condition */ -#line 3088 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3130 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10247 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10279 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 778: /* controlled_timing_check_event: timing_check_event_control specify_terminal_descriptor */ -#line 3093 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3135 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10254 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10286 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 779: /* controlled_timing_check_event: timing_check_event_control specify_terminal_descriptor L_AND '&' timing_check_condition */ -#line 3095 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3137 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10261 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10293 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 780: /* timing_check_event_control: KW_POSEDGE */ -#line 3100 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3142 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10268 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10300 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 781: /* timing_check_event_control: KW_NEGEDGE */ -#line 3102 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3144 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10275 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10307 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 782: /* timing_check_event_control: edge_control_specifier */ -#line 3104 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3146 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10282 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10314 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 783: /* specify_terminal_descriptor: specify_input_terminal_descriptor */ -#line 3109 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3151 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10289 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10321 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 784: /* specify_terminal_descriptor: specify_output_terminal_descriptor */ -#line 3111 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3153 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10296 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10328 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 785: /* edge_descriptor_list: %empty */ -#line 3116 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3158 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10303 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10335 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 786: /* edge_descriptor_list: edge_descriptor */ -#line 3118 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3160 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10310 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10342 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 787: /* edge_descriptor_list: edge_descriptor_list ',' edge_descriptor */ -#line 3120 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3162 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10317 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10349 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 788: /* edge_control_specifier: KW_EDGE edge_descriptor_list */ -#line 3125 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3167 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10324 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10356 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 789: /* edge_descriptor: number */ -#line 3130 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3172 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10331 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10363 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 790: /* timing_check_condition: scalar_timing_check_condition */ -#line 3152 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3194 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10338 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10370 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 791: /* timing_check_condition: '(' scalar_timing_check_condition ')' */ -#line 3154 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3196 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10345 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10377 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 792: /* scalar_timing_check_condition: expression */ -#line 3159 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3201 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10352 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10384 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 793: /* scalar_timing_check_condition: '~' expression */ -#line 3161 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3203 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10359 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10391 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 794: /* scalar_timing_check_condition: expression L_EQ scalar_constant */ -#line 3163 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3205 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10366 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10398 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 795: /* scalar_timing_check_condition: expression C_EQ scalar_constant */ -#line 3165 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3207 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10373 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10405 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 796: /* scalar_timing_check_condition: expression L_NEQ scalar_constant */ -#line 3167 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3209 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10380 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10412 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 797: /* scalar_timing_check_condition: expression C_NEQ scalar_constant */ -#line 3169 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3211 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10387 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10419 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 798: /* scalar_constant: number */ -#line 3174 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3216 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10394 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10426 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 799: /* concatenation: '{' expression_list '}' */ -#line 3187 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3229 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10401 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10433 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 800: /* constant_expression_list: constant_expression */ -#line 3192 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3234 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10408 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10440 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 801: /* constant_expression_list: constant_expression_list ',' constant_expression */ -#line 3194 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3236 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10415 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10447 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 802: /* constant_concatenation: '{' constant_expression_list '}' */ -#line 3199 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3241 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10422 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10454 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 803: /* constant_multiple_concatenation: '{' constant_expression constant_concatenation '}' */ -#line 3204 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3246 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10429 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10461 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 804: /* module_path_expression_list: module_path_expression */ -#line 3209 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3251 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10436 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10468 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 805: /* module_path_expression_list: module_path_expression_list ',' module_path_expression */ -#line 3211 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3253 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10443 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10475 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 806: /* module_path_concatenation: '{' module_path_expression_list '}' */ -#line 3217 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3259 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10450 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10482 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 807: /* module_path_multiple_concatenation: '{' constant_expression module_path_concatenation '}' */ -#line 3222 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3264 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10457 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10489 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 808: /* multiple_concatenation: '{' constant_expression concatenation '}' */ -#line 3227 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3269 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10464 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10496 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 809: /* constant_function_call: function_identifier attribute_instance_list '(' constant_expression_list ')' */ -#line 3236 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3278 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10471 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10503 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 810: /* system_function_identifier: SYSTEM_ID */ -#line 3241 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3283 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10478 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10510 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 811: /* constant_system_function_call: system_function_identifier '(' constant_expression_list ')' */ -#line 3247 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3289 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10485 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10517 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 812: /* function_call: hierarchical_function_identifier attribute_instance_list '(' expression_list ')' */ -#line 3253 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3295 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10492 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10524 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 813: /* system_function_call: system_function_identifier */ -#line 3258 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3300 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10499 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10531 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 814: /* system_function_call: system_function_identifier '(' expression_list ')' */ -#line 3261 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3303 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10506 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10538 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 815: /* base_expression: expression */ -#line 3269 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3311 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10513 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10545 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 816: /* conditional_expression: expression '?' attribute_instance_list expression ':' expression */ -#line 3274 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3316 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10520 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10552 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 817: /* constant_base_expression: constant_expression */ -#line 3279 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3321 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10527 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10559 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 818: /* constant_expression: constant_primary */ -#line 3284 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3326 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10534 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10566 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 819: /* constant_expression: unary_operator attribute_instance_list constant_primary */ -#line 3286 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3328 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10541 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10573 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 820: /* constant_expression: constant_expression binary_operator attribute_instance_list constant_expression */ -#line 3288 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3330 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10548 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10580 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 821: /* constant_expression: constant_expression '?' attribute_instance_list constant_expression ':' constant_expression */ -#line 3290 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3332 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10555 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10587 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 822: /* constant_mintypmax_expression: constant_expression */ -#line 3295 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3337 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10562 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10594 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 823: /* constant_mintypmax_expression: constant_expression ':' constant_expression ':' constant_expression */ -#line 3297 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3339 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10569 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10601 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 824: /* constant_range_expression: constant_expression */ -#line 3302 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3344 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10576 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10608 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 825: /* constant_range_expression: msb_constant_expression ':' lsb_constant_expression */ -#line 3304 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3346 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10583 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10615 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 826: /* constant_range_expression: constant_base_expression '+' ':' width_constant_expression */ -#line 3306 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3348 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10590 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10622 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 827: /* constant_range_expression: constant_base_expression '-' ':' width_constant_expression */ -#line 3308 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3350 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10597 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10629 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 828: /* dimension_constant_expression: constant_expression */ -#line 3313 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3355 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10604 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10636 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 829: /* expression: primary */ -#line 3318 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3360 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10611 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10643 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 830: /* expression: unary_operator attribute_instance_list primary */ -#line 3320 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3362 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10618 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10650 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 831: /* expression: expression binary_operator attribute_instance_list expression */ -#line 3322 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3364 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10625 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10657 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 832: /* expression: conditional_expression */ -#line 3324 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3366 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10632 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10664 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 833: /* lsb_constant_expression: constant_expression */ -#line 3335 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3377 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.treenode) = (yyvsp[0].treenode); } -#line 10639 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10672 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 834: /* mintypmax_expression: expression */ -#line 3340 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3383 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10646 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10679 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 835: /* mintypmax_expression: expression ':' expression ':' expression */ -#line 3342 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3385 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10653 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10686 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 836: /* module_path_conditional_expression: module_path_expression '?' attribute_instance_list module_path_expression ':' module_path_expression */ -#line 3348 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3391 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10660 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10693 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 837: /* module_path_expression: module_path_primary */ -#line 3353 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3396 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10667 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10700 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 838: /* module_path_expression: unary_module_path_operator attribute_instance_list module_path_primary */ -#line 3355 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3398 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10674 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10707 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 839: /* module_path_expression: module_path_expression binary_module_path_operator attribute_instance_list module_path_expression */ -#line 3358 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3401 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10681 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10714 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 840: /* module_path_expression: module_path_conditional_expression */ -#line 3360 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3403 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10688 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10721 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 841: /* module_path_mintypmax_expression: module_path_expression */ -#line 3365 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3408 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10695 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10728 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 842: /* module_path_mintypmax_expression: module_path_expression ':' module_path_expression ':' module_path_expression */ -#line 3367 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3410 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10702 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10735 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 843: /* msb_constant_expression: constant_expression */ -#line 3372 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3415 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.treenode) = (yyvsp[0].treenode); } -#line 10709 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10743 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 844: /* range_expression: expression */ -#line 3377 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3421 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10716 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10750 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 845: /* range_expression: msb_constant_expression ':' lsb_constant_expression */ -#line 3379 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3423 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10723 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10757 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 846: /* range_expression: base_expression '+' ':' width_constant_expression */ -#line 3381 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3425 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10730 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10764 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 847: /* range_expression: base_expression '-' ':' width_constant_expression */ -#line 3383 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3427 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10737 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10771 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 848: /* width_constant_expression: constant_expression */ -#line 3388 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3432 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10744 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10778 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 849: /* constant_primary: number */ -#line 3396 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3440 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10751 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10785 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 850: /* constant_primary: parameter_identifier */ -#line 3398 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3442 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10758 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10792 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 851: /* constant_primary: parameter_identifier '[' constant_range_expression ']' */ -#line 3400 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3444 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10765 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10799 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 852: /* constant_primary: specparam_identifier */ -#line 3402 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3446 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10772 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10806 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 853: /* constant_primary: specparam_identifier '[' constant_range_expression ']' */ -#line 3404 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3448 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10779 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10813 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 854: /* constant_primary: constant_concatenation */ -#line 3406 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3450 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10786 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10820 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 855: /* constant_primary: constant_multiple_concatenation */ -#line 3408 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3452 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10793 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10827 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 856: /* constant_primary: constant_function_call */ -#line 3410 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3454 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10800 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10834 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 857: /* constant_primary: constant_system_function_call */ -#line 3412 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3456 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10807 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10841 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 858: /* constant_primary: '(' constant_mintypmax_expression ')' */ -#line 3414 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3458 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10814 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10848 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 859: /* constant_primary: string */ -#line 3416 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3460 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10821 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10855 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 860: /* module_path_primary: number */ -#line 3421 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3465 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10828 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10862 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 861: /* module_path_primary: identifier */ -#line 3423 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3467 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10835 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10869 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 862: /* module_path_primary: module_path_concatenation */ -#line 3425 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3469 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10842 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10876 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 863: /* module_path_primary: module_path_multiple_concatenation */ -#line 3427 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3471 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10849 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10883 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 864: /* module_path_primary: function_call */ -#line 3429 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3473 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10856 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10890 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 865: /* module_path_primary: system_function_call */ -#line 3431 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3475 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10863 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10897 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 866: /* module_path_primary: '(' module_path_mintypmax_expression ')' */ -#line 3433 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3477 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10870 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10904 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 867: /* array_element_select: %empty */ -#line 3438 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3482 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10877 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10911 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 868: /* array_element_select: '[' expression ']' */ -#line 3440 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3484 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10884 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10918 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 869: /* array_element_select: array_element_select '[' expression ']' */ -#line 3442 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3486 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10891 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10925 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 870: /* range_expression_option: %empty */ -#line 3447 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3491 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10898 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10932 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 871: /* range_expression_option: range_expression */ -#line 3449 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3493 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10905 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10939 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 872: /* primary: number */ -#line 3454 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3498 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10912 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10946 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 873: /* primary: hierarchical_identifier array_element_select range_expression_option */ -#line 3456 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3500 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10919 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10953 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 874: /* primary: concatenation */ -#line 3458 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3502 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10926 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10960 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 875: /* primary: multiple_concatenation */ -#line 3460 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3504 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10933 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10967 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 876: /* primary: function_call */ -#line 3462 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3506 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10940 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10974 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 877: /* primary: system_function_call */ -#line 3464 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3508 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10947 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10981 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 878: /* primary: '(' mintypmax_expression ')' */ -#line 3466 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3510 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10954 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10988 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 879: /* primary: string */ -#line 3468 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3512 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10961 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 10995 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 880: /* const_array_element_select: %empty */ -#line 3476 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3520 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10968 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11002 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 881: /* const_array_element_select: '[' constant_expression ']' */ -#line 3478 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3522 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10975 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11009 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 882: /* const_array_element_select: const_array_element_select '[' constant_expression ']' */ -#line 3480 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3524 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10982 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11016 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 883: /* constant_range_expression_option: %empty */ -#line 3485 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3529 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10989 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11023 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 884: /* constant_range_expression_option: range_expression */ -#line 3487 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3531 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 10996 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11030 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 885: /* net_lvalue_list: net_lvalue */ -#line 3492 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3536 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11003 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11037 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 886: /* net_lvalue_list: net_lvalue_list ',' net_lvalue */ -#line 3494 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3538 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11010 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11044 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 887: /* net_lvalue: hierarchical_net_identifier const_array_element_select constant_range_expression_option */ -#line 3499 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3543 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11017 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11051 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 888: /* net_lvalue: '{' net_lvalue_list '}' */ -#line 3501 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3545 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11024 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11058 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 889: /* variable_lvalue_list: variable_lvalue */ -#line 3506 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3550 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11031 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11065 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 890: /* variable_lvalue_list: variable_lvalue_list ',' variable_lvalue */ -#line 3508 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3552 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11038 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11072 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 891: /* variable_lvalue: hierarchical_variable_identifier array_element_select range_expression_option */ -#line 3513 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3557 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11045 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11079 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 892: /* variable_lvalue: '{' variable_lvalue_list '}' */ -#line 3515 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3559 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11052 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11086 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 893: /* unary_operator: '+' */ -#line 3524 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3568 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11059 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11093 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 894: /* unary_operator: '-' */ -#line 3526 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3570 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11066 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11100 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 895: /* unary_operator: '!' */ -#line 3528 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3572 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11073 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11107 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 896: /* unary_operator: '~' */ -#line 3530 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3574 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11080 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11114 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 897: /* unary_operator: '&' */ -#line 3532 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3576 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11087 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11121 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 898: /* unary_operator: B_NAND */ -#line 3534 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3578 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11094 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11128 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 899: /* unary_operator: '|' */ -#line 3536 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3580 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11101 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11135 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 900: /* unary_operator: B_NOR */ -#line 3538 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3582 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11108 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11142 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 901: /* unary_operator: '^' */ -#line 3540 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3584 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11115 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11149 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 902: /* unary_operator: B_EQU */ -#line 3542 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3586 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11122 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11156 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 903: /* binary_operator: '+' */ -#line 3547 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3591 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11129 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11163 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 904: /* binary_operator: '-' */ -#line 3549 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3593 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11136 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11170 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 905: /* binary_operator: '*' */ -#line 3551 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3595 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11143 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11177 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 906: /* binary_operator: '/' */ -#line 3553 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3597 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11150 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11184 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 907: /* binary_operator: '%' */ -#line 3555 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3599 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11157 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11191 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 908: /* binary_operator: L_EQ */ -#line 3557 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3601 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11164 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11198 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 909: /* binary_operator: L_NEQ */ -#line 3559 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3603 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11171 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11205 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 910: /* binary_operator: C_EQ */ -#line 3561 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3605 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11178 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11212 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 911: /* binary_operator: C_NEQ */ -#line 3563 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3607 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11185 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11219 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 912: /* binary_operator: L_AND */ -#line 3565 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3609 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11192 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11226 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 913: /* binary_operator: L_OR */ -#line 3567 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3611 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11199 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11233 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 914: /* binary_operator: POW */ -#line 3569 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3613 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11206 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11240 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 915: /* binary_operator: '<' */ -#line 3571 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3615 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11213 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11247 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 916: /* binary_operator: LTE */ -#line 3573 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3617 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11220 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11254 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 917: /* binary_operator: '>' */ -#line 3575 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3619 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11227 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11261 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 918: /* binary_operator: GTE */ -#line 3577 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3621 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11234 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11268 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 919: /* binary_operator: '&' */ -#line 3579 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3623 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11241 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11275 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 920: /* binary_operator: '|' */ -#line 3581 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3625 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11248 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11282 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 921: /* binary_operator: '^' */ -#line 3583 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3627 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11255 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11289 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 922: /* binary_operator: B_EQU */ -#line 3585 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3629 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11262 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11296 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 923: /* binary_operator: LSR */ -#line 3587 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3631 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11269 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11303 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 924: /* binary_operator: LSL */ -#line 3589 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3633 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11276 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11310 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 925: /* binary_operator: ASR */ -#line 3591 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3635 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11283 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11317 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 926: /* binary_operator: ASL */ -#line 3593 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3637 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11290 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11324 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 927: /* unary_module_path_operator: '!' */ -#line 3598 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3642 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11297 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11331 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 928: /* unary_module_path_operator: '~' */ -#line 3600 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3644 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11304 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11338 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 929: /* unary_module_path_operator: '&' */ -#line 3602 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3646 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11311 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11345 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 930: /* unary_module_path_operator: B_NAND */ -#line 3604 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3648 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11318 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11352 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 931: /* unary_module_path_operator: '|' */ -#line 3606 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3650 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11325 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11359 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 932: /* unary_module_path_operator: B_NOR */ -#line 3608 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3652 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11332 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11366 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 933: /* unary_module_path_operator: '^' */ -#line 3610 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3654 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11339 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11373 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 934: /* unary_module_path_operator: B_EQU */ -#line 3612 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3656 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11346 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11380 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 935: /* binary_module_path_operator: L_EQ */ -#line 3617 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3661 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11353 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11387 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 936: /* binary_module_path_operator: L_NEQ */ -#line 3619 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3663 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11360 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11394 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 937: /* binary_module_path_operator: L_AND */ -#line 3621 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3665 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11367 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11401 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 938: /* binary_module_path_operator: L_OR */ -#line 3623 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3667 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11374 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11408 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 939: /* binary_module_path_operator: '&' */ -#line 3625 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3669 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11381 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11415 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 940: /* binary_module_path_operator: '|' */ -#line 3627 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3671 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11388 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11422 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 941: /* binary_module_path_operator: '^' */ -#line 3629 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3673 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11395 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11429 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 942: /* binary_module_path_operator: B_EQU */ -#line 3631 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3675 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11402 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11436 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 943: /* unsigned_number: UNSIGNED_NUMBER */ -#line 3680 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3724 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11409 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11443 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 944: /* number: NUM_REAL */ -#line 3685 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3729 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11416 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11450 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 945: /* number: BIN_BASE BIN_VALUE */ -#line 3687 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3731 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11423 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11457 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 946: /* number: HEX_BASE HEX_VALUE */ -#line 3689 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3733 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11430 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11464 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 947: /* number: OCT_BASE OCT_VALUE */ -#line 3691 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3735 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11437 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11471 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 948: /* number: DEC_BASE UNSIGNED_NUMBER */ -#line 3693 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3737 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11444 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11478 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 949: /* number: UNSIGNED_NUMBER BIN_BASE BIN_VALUE */ -#line 3695 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3739 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11451 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11485 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 950: /* number: UNSIGNED_NUMBER HEX_BASE HEX_VALUE */ -#line 3697 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3741 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11458 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11492 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 951: /* number: UNSIGNED_NUMBER OCT_BASE OCT_VALUE */ -#line 3699 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3743 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11465 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11499 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 952: /* number: UNSIGNED_NUMBER DEC_BASE UNSIGNED_NUMBER */ -#line 3701 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3745 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11472 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11506 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 953: /* number: unsigned_number */ -#line 3703 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3747 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11479 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11513 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 954: /* string: STRING */ -#line 3712 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3756 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11486 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11520 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 955: /* attribute_instance_list: %empty */ -#line 3722 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3766 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); } -#line 11494 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11528 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 956: /* attribute_instance_list: attribute_instance_list attribute_instance */ -#line 3725 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3769 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-1].list); dlistConcat(&(yyval.list), &(yyvsp[0].list)); } -#line 11503 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11537 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 957: /* attribute_instance_list: attribute_instance */ -#line 3729 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3773 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[0].list); } -#line 11511 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11545 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 958: /* attribute_instance: ATTRIBUTE_START attribute_instance_spec_list ATTRIBUTE_END */ -#line 3735 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3779 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-1].list); } -#line 11519 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11553 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 959: /* attribute_instance_spec_list: attribute_instance_spec_list ',' attr_spec */ -#line 3740 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3784 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.list) = (yyvsp[-2].list); dlistAppendItem(&(yyval.list), (yyvsp[0].treenode)); } -#line 11528 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11562 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 960: /* attribute_instance_spec_list: attr_spec */ -#line 3744 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3788 "D:/gitwork/hdl4se/parser/verilog_parser.y" { dlistInit(&(yyval.list)); dlistAppendItem(&(yyval.list), (yyvsp[0].treenode)); } -#line 11537 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11571 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 961: /* attr_spec: attr_name */ -#line 3751 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3795 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.treenode) = verilogparseCreateAttrSpec((yyvsp[0].string), NULL); free((yyvsp[0].string)); } -#line 11546 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11580 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 962: /* attr_spec: attr_name '=' constant_expression */ -#line 3755 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3799 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.treenode) = verilogparseCreateAttrSpec((yyvsp[-2].string), (yyvsp[0].treenode)); free((yyvsp[-2].string)); objectRelease((yyvsp[0].treenode)); } -#line 11556 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11590 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 963: /* attr_name: identifier */ -#line 3763 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3807 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.string) = (yyvsp[0].string); } -#line 11564 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11598 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 964: /* block_identifier: identifier */ -#line 3785 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3829 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11571 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11605 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 965: /* cell_identifier: identifier */ -#line 3790 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3834 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11578 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11612 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 966: /* config_identifier: identifier */ -#line 3795 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3839 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11585 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11619 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 967: /* event_identifier: identifier */ -#line 3800 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3844 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11592 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11626 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 968: /* function_identifier: identifier */ -#line 3805 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3849 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11599 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11633 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 969: /* gate_instance_identifier: identifier */ -#line 3810 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3854 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11606 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11640 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 970: /* generate_block_identifier: identifier */ -#line 3815 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3859 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11613 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11647 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 971: /* genvar_identifier: identifier */ -#line 3820 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3864 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11620 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11654 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 972: /* hierarchical_block_identifier: hierarchical_identifier */ -#line 3825 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3869 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11627 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11661 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 973: /* hierarchical_event_identifier: hierarchical_identifier */ -#line 3830 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3874 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11634 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11668 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 974: /* hierarchical_function_identifier: hierarchical_identifier */ -#line 3835 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3879 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11641 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11675 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 975: /* hierachical_header: %empty */ -#line 3840 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3884 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11648 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11682 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 976: /* hierachical_header: identifier '.' */ -#line 3842 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3886 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11655 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11689 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 977: /* hierachical_header: identifier '[' constant_expression ']' '.' */ -#line 3844 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3888 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11662 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11696 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 978: /* hierachical_header: hierachical_header identifier '.' */ -#line 3846 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3890 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11669 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11703 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 979: /* hierachical_header: hierachical_header identifier '[' constant_expression ']' '.' */ -#line 3848 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3892 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11676 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11710 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 980: /* hierarchical_identifier: hierachical_header identifier */ -#line 3853 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3897 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11683 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11717 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 981: /* hierarchical_net_identifier: hierarchical_identifier */ -#line 3858 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3902 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11690 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11724 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 982: /* hierarchical_parameter_identifier: hierarchical_identifier */ -#line 3863 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3907 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11697 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11731 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 983: /* hierarchical_variable_identifier: hierarchical_identifier */ -#line 3868 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3912 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11704 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11738 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 984: /* hierarchical_task_identifier: hierarchical_identifier */ -#line 3873 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3917 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11711 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11745 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 985: /* identifier: SIMPLE_ID */ -#line 3878 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3922 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.string) = (yyvsp[0].string); } -#line 11719 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11753 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 986: /* identifier: ESCAPED_ID */ -#line 3881 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3925 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.string) = (yyvsp[0].string); } -#line 11727 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11761 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 987: /* inout_port_identifier: identifier */ -#line 3887 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3931 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11734 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11768 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 988: /* input_port_identifier: identifier */ -#line 3892 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3936 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11741 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11775 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 989: /* instance_identifier: identifier */ -#line 3897 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3941 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11748 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11782 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 990: /* library_identifier: identifier */ -#line 3902 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3946 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11755 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11789 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 991: /* module_identifier: identifier */ -#line 3907 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3951 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.string) = (yyvsp[0].string); } -#line 11763 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11797 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 992: /* module_instance_identifier: identifier */ -#line 3913 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3957 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11770 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11804 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 993: /* net_identifier: identifier */ -#line 3916 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3960 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11777 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11811 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 994: /* output_port_identifier: identifier */ -#line 3921 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3965 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11784 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11818 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 995: /* parameter_identifier: identifier */ -#line 3926 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3970 "D:/gitwork/hdl4se/parser/verilog_parser.y" { + (yyval.string) = (yyvsp[0].string); } -#line 11791 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11826 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 996: /* port_identifier: identifier */ -#line 3931 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3976 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11798 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11833 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 997: /* real_identifier: identifier */ -#line 3936 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3981 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11805 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11840 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 998: /* specparam_identifier: identifier */ -#line 3941 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3986 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11812 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11847 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 999: /* task_identifier: identifier */ -#line 3946 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3991 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11819 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11854 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 1000: /* terminal_identifier: identifier */ -#line 3951 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 3996 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11826 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11861 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 1001: /* topmodule_identifier: identifier */ -#line 3963 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 4008 "D:/gitwork/hdl4se/parser/verilog_parser.y" { (yyval.string) = (yyvsp[0].string); } -#line 11834 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11869 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 1002: /* udp_identifier: identifier */ -#line 3969 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 4014 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11841 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11876 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 1003: /* udp_instance_identifier: identifier */ -#line 3974 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 4019 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11848 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11883 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; case 1004: /* variable_identifier: identifier */ -#line 3978 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 4023 "D:/gitwork/hdl4se/parser/verilog_parser.y" { } -#line 11855 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11890 "D:/gitwork/hdl4se/parser/verilog_parser.c" break; -#line 11859 "D:/gitwork/hdl4se/parser/verilog_parser.c" +#line 11894 "D:/gitwork/hdl4se/parser/verilog_parser.c" default: break; } @@ -12080,5 +12115,5 @@ yyreturn: return yyresult; } -#line 3997 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 4042 "D:/gitwork/hdl4se/parser/verilog_parser.y" diff --git a/parser/verilog_parser.h b/parser/verilog_parser.h index fc212836d2b6b40e2c4625ac3084273056f86bfb..49400becd7eb0a535492a9da0776204ced50c6bf 100644 --- a/parser/verilog_parser.h +++ b/parser/verilog_parser.h @@ -55,9 +55,10 @@ extern int yydebug; #include "verilog_module.h" #include "verilog_keyword.h" #include "verilog_attrspec.h" +#include "verilog_ptrlistitem.h" +#include "verilog_parameter.h" - -#line 61 "D:/gitwork/hdl4se/parser/verilog_parser.h" +#line 62 "D:/gitwork/hdl4se/parser/verilog_parser.h" /* Token kinds. */ #ifndef YYTOKENTYPE @@ -254,19 +255,24 @@ extern int yydebug; #if ! defined YYSTYPE && ! defined YYSTYPE_IS_DECLARED union YYSTYPE { -#line 74 "D:/gitwork/hdl4se/parser/verilog_parser.y" +#line 75 "D:/gitwork/hdl4se/parser/verilog_parser.y" HOBJECT treenode; + HOBJECT obj; struct _two_obj { HOBJECT obj[2]; }two_obj; + struct _str_bind_obj { + const char * key; + HOBJECT obj; + }str_bind_obj; char * string; int token; int operator; int ival; IDListVar list; -#line 270 "D:/gitwork/hdl4se/parser/verilog_parser.h" +#line 276 "D:/gitwork/hdl4se/parser/verilog_parser.h" }; typedef union YYSTYPE YYSTYPE; diff --git a/parser/verilog_parser.y b/parser/verilog_parser.y index db82d62882e20cb9c014f5ea2f0153aaf9134da7..f9983b27892cb16fc882e755c176189fa3e4d9cf 100644 --- a/parser/verilog_parser.y +++ b/parser/verilog_parser.y @@ -67,12 +67,14 @@ #include "verilog_module.h" #include "verilog_keyword.h" #include "verilog_attrspec.h" - +#include "verilog_ptrlistitem.h" +#include "verilog_parameter.h" } /* token types */ %union { HOBJECT treenode; + HOBJECT obj; struct _two_obj { HOBJECT obj[2]; }two_obj; @@ -138,10 +140,10 @@ %type attr_name identifier module_identifier topmodule_identifier parameter_identifier -%type enable_gatetype +%type parameter_type %type range range_option -%type param_assignment +%type param_assignment %type attr_spec %type constant_mintypmax_expression @@ -150,7 +152,8 @@ %type attribute_instance_list attribute_instance attribute_instance_spec_list -%type module_parameter_port_list module_param_list parameter_declaration +%type module_parameter_port_list module_param_list parameter_declaration + list_of_param_assignments %type list_of_port_declarations list_of_ports module_item_list non_port_module_item_list @@ -557,8 +560,11 @@ signed_option: range_option: { + $$.obj[0] = NULL; + $$.obj[1] = NULL; } | range { + $$ = $1; } ; @@ -573,6 +579,24 @@ parameter_declaration : KW_PARAMETER signed_option range_option list_of_param_assignments { } | KW_PARAMETER parameter_type list_of_param_assignments { + IDListVarPtr pitem, pitemtemp; + IMapStr2PtrItem ** assignitem; + dlistInit(&$$); + pitem = $3.__dlist_pNext; + while (pitem != &$3) { + pitemtemp = pitem->__dlist_pNext; + if (0 == objectQueryInterface(pitem, IID_MAPSTR2PTRITEM, (void **)&assignitem)) { + const char *name; + HOBJECT expr; + HOBJECT paramitem; + objectCall1(assignitem, GetName, &name); + objectCall1(assignitem, GetData, &expr); + paramitem = verilogparseCreateParameter(PARAM_TYPE_PARAM, $2, 0, NULL, NULL, name, expr); + dlistAppendItem(&$$, paramitem); + } + pitem = pitemtemp; + } + dlistRemoveAll(&$3); } ; @@ -583,12 +607,16 @@ specparam_declaration : parameter_type : KW_INTEGER { + $$ = PARAM_DATA_TYPE_INTEGER; } | KW_REAL { + $$ = PARAM_DATA_TYPE_REAL; } | KW_REALTIME { + $$ = PARAM_DATA_TYPE_REALTIME; } | KW_TIME { + $$ = PARAM_DATA_TYPE_TIME; } ; @@ -928,8 +956,12 @@ list_of_event_identifiers : list_of_param_assignments : param_assignment { + dlistInit(&$$); + dlistAppendItem(&$$, $1); } | list_of_param_assignments ',' param_assignment { + $$ = $1; + dlistAppendItem(&$$, $3); } ; @@ -993,8 +1025,7 @@ net_decl_assignment : param_assignment : parameter_identifier '=' constant_mintypmax_expression { - $$.key = $1; - $$.obj = $3; + $$ = verilogparseCreatePtrListItem($1, $3); } ; diff --git a/parser/verilog_parsetree.h b/parser/verilog_parsetree.h index 0024b53f9c2e86bf42adf3ba280fd3c596594b87..e716ba4423c81fd0571d11f53dd415096626be63 100644 --- a/parser/verilog_parsetree.h +++ b/parser/verilog_parsetree.h @@ -64,6 +64,7 @@ typedef struct sIVerilogNode { _obj##_verilognode_dump, \ }; +DEFINE_GUID(IID_MAPSTR2PTRITEM, 0xa18c8f3, 0xe5d1, 0x4f74, 0x83, 0xe8, 0x60, 0x7f, 0xd8, 0x56, 0xb9, 0x7c); typedef struct sIMapStr2PtrItem { OBJECT_INTERFACE int (*GetName)(HOBJECT object, const char** pname); diff --git a/parser/verilog_ptrlistitem.c b/parser/verilog_ptrlistitem.c index 696d65948038bdb0881d899f9bf8901e5599b3b4..3aec3c7b7be8efe826d263971ce7891cd16710af 100644 --- a/parser/verilog_ptrlistitem.c +++ b/parser/verilog_ptrlistitem.c @@ -42,7 +42,7 @@ #include "dlist.h" #include "verilog_parsetree.h" #define IMPLEMENT_GUID -#include "verilog_module.h" +#include "verilog_ptrlistitem.h" #undef IMPLEMENT_GUID typedef struct _sMapStr2PtrItem { @@ -83,7 +83,7 @@ static int ptrlistitemCreate(const PARAMITEM * pParams, int paramcount, HOBJECT *pObject = 0; DLIST_VARINIT(pobj, ptrlistitem); VERILOGNODE_VARINIT(pobj, CLSID_VERILOG_PTRLISTITEM); - INTERFACE_INIT(IMapStr2PtrItem, pobj, ptrlistitem, verilognode); + INTERFACE_INIT(IMapStr2PtrItem, pobj, ptrlistitem, mapstr2ptr); /*返回生成的对象*/ OBJECT_RETURN_GEN(ptrlistitem, pobj, pObject, CLSID_VERILOG_PTRLISTITEM); @@ -111,146 +111,36 @@ static int ptrlistitemValid(HOBJECT object) return 1; } -static int ptrlistitem_verilognode_dump(HOBJECT object, FILE * pFile, int opt) + +static int ptrlistitem_mapstr2ptr_GetName(HOBJECT object, const char** pname) { - sMapStr2PtrItem * pobj; - pobj = (sMapStr2PtrItem *)objectThis(object); + sMapStr2PtrItem* pobj; + pobj = (sMapStr2PtrItem*)objectThis(object); + *pname = pobj->name; + return 0; +} - return 0; +static int ptrlistitem_mapstr2ptr_GetData(HOBJECT object, void** ppData) +{ + sMapStr2PtrItem* pobj; + pobj = (sMapStr2PtrItem*)objectThis(object); + *ppData = pobj->ptr; + return 0; } -HOBJECT HOBJECT verilogparseCreatePtrListItem(const char * name, void* ptr) + +HOBJECT verilogparseCreatePtrListItem(const char * name, void* ptr) { - HOBJECT module = NULL; - sMapStr2PtrItem * pModule; + HOBJECT obj = NULL; + sMapStr2PtrItem * pobj; A_u_t_o_registor_ptrlistitem(); - objectCreate(CLSID_VERILOG_PTRLISTITEM, NULL, 0, &module); - if (module == NULL) + objectCreate(CLSID_VERILOG_PTRLISTITEM, NULL, 0, &obj); + if (obj == NULL) return NULL; - pModule = (sMapStr2PtrItem *)objectThis(module); - pModule->attributes = attributes; - pModule->identifier = identifier; - pModule->module_parameters = *parameters; - if(ports != NULL) { - pModule->module_ports = *ports; - } -/* - for(i = 0; i < constructs -> items; i++) - { - ast_module_item * construct = ast_list_get(constructs, i); - - if(construct -> type == MOD_ITEM_PORT_DECLARATION && ports == NULL){ - // Only accept ports declared this way iff the ports argument to - // this function is NULL, signifying the old style of port - // declaration. - ast_list_append(tr -> module_ports, - construct -> port_declaration); - } - else if(construct -> type == MOD_ITEM_GENERATED_INSTANTIATION){ - ast_list_append(tr -> generate_blocks, - construct -> generated_instantiation); - } - else if(construct -> type == MOD_ITEM_PARAMETER_DECLARATION) { - ast_list_append(tr -> module_parameters, - construct -> parameter_declaration); - } - else if(construct -> type == MOD_ITEM_SPECIFY_BLOCK){ - ast_list_append(tr -> specify_blocks, - construct -> specify_block); - } - else if(construct -> type == MOD_ITEM_SPECPARAM_DECLARATION){ - ast_list_append(tr -> specparams, - construct -> specparam_declaration); - } - else if(construct -> type == MOD_ITEM_PARAMETER_OVERRIDE){ - ast_list_append(tr -> parameter_overrides, - construct -> parameter_override); - } - else if(construct -> type == MOD_ITEM_CONTINOUS_ASSIGNMENT){ - ast_list_append(tr -> continuous_assignments, - construct -> continuous_assignment); - } - else if(construct -> type == MOD_ITEM_GATE_INSTANTIATION){ - ast_list_append(tr -> gate_instantiations, - construct -> gate_instantiation); - } - else if(construct -> type == MOD_ITEM_UDP_INSTANTIATION){ - ast_list_append(tr -> udp_instantiations, - construct -> udp_instantiation); - } - else if(construct -> type == MOD_ITEM_MODULE_INSTANTIATION){ - ast_list_append(tr -> module_instantiations, - construct -> module_instantiation); - } - else if(construct -> type == MOD_ITEM_INITIAL_CONSTRUCT){ - ast_statement_block * toadd = ast_extract_statement_block( - BLOCK_SEQUENTIAL_INITIAL, construct -> initial_construct); - ast_list_append(tr -> initial_blocks ,toadd); - } - else if(construct -> type == MOD_ITEM_ALWAYS_CONSTRUCT){ - ast_statement_block * toadd = ast_extract_statement_block( - BLOCK_SEQUENTIAL_ALWAYS, construct -> always_construct); - ast_list_append(tr -> always_blocks,toadd); - } - else if(construct -> type == MOD_ITEM_NET_DECLARATION){ - tr -> net_declarations = ast_list_concat( - tr -> net_declarations, - ast_new_net_declaration(construct -> net_declaration)); - } - else if(construct -> type == MOD_ITEM_REG_DECLARATION){ - tr -> reg_declarations = ast_list_concat( - tr -> reg_declarations, - ast_new_reg_declaration(construct -> reg_declaration)); - } - else if(construct -> type == MOD_ITEM_INTEGER_DECLARATION){ - tr -> integer_declarations = ast_list_concat( - tr -> integer_declarations, - ast_new_var_declaration(construct -> integer_declaration)); - } - else if(construct -> type == MOD_ITEM_REAL_DECLARATION){ - tr -> real_declarations = ast_list_concat( - tr -> real_declarations, - ast_new_var_declaration(construct -> real_declaration)); - } - else if(construct -> type == MOD_ITEM_TIME_DECLARATION){ - tr -> time_declarations = ast_list_concat( - tr -> time_declarations, - ast_new_var_declaration(construct -> time_declaration)); - } - else if(construct -> type == MOD_ITEM_REALTIME_DECLARATION){ - tr -> realtime_declarations = ast_list_concat( - tr -> realtime_declarations, - ast_new_var_declaration(construct -> realtime_declaration)); - } - else if(construct -> type == MOD_ITEM_EVENT_DECLARATION){ - tr -> event_declarations = ast_list_concat( - tr -> event_declarations, - ast_new_var_declaration(construct -> event_declaration)); - } - else if(construct -> type == MOD_ITEM_GENVAR_DECLARATION){ - tr -> genvar_declarations = ast_list_concat( - tr -> genvar_declarations, - ast_new_var_declaration(construct -> genvar_declaration)); - } - else if(construct -> type == MOD_ITEM_TASK_DECLARATION){ - ast_list_append(tr -> task_declarations, - construct -> task_declaration); - } - else if(construct -> type == MOD_ITEM_FUNCTION_DECLARATION){ - ast_list_append(tr -> function_declarations, - construct -> function_declaration); - } - else - { - printf("ERROR: Unsupported module construct type: %d\n", - construct -> type); - assert(0); // Fail out because this should *never* happen - } - } - - return tr; -}*/ - return module; + pobj = (sMapStr2PtrItem *)objectThis(obj); + pobj->name = name; + pobj->ptr = ptr; + return obj; }