set_property PACKAGE_PIN U18 [get_ports wClk] set_property PACKAGE_PIN N15 [get_ports {key[0]}] set_property PACKAGE_PIN N16 [get_ports {key[1]}] set_property PACKAGE_PIN T17 [get_ports {key[2]}] set_property PACKAGE_PIN M14 [get_ports {led[0]}] set_property PACKAGE_PIN M15 [get_ports {led[1]}] set_property PACKAGE_PIN K16 [get_ports {led[2]}] set_property PACKAGE_PIN J16 [get_ports {led[3]}] set_property PACKAGE_PIN Y17 [get_ports uart_rx] set_property PACKAGE_PIN R14 [get_ports uart_tx] set_property PACKAGE_PIN R17 [get_ports nwReset] set_property IOSTANDARD LVCMOS33 [get_ports wClk] set_property IOSTANDARD LVCMOS33 [get_ports {key[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {key[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {key[0]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[3]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[2]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[1]}] set_property IOSTANDARD LVCMOS33 [get_ports {led[0]}] set_property IOSTANDARD LVCMOS33 [get_ports uart_rx] set_property IOSTANDARD LVCMOS33 [get_ports uart_tx] set_property IOSTANDARD LVCMOS33 [get_ports nwReset] create_clock -period 20.000 -name wClk -waveform {0.000 10.000} [get_ports wClk]