{ "version": "1.0", "modules": { "design_1": { "proto_instances": { "/axi1to2_0/m00_axi": { "interface": "xilinx.com:interface:aximm:1.0", "ports": { "ACLK": { "actual": "axi_aclk"}, "ARADDR": { "actual": "m00_axi_araddr"}, "ARESETN": { "actual": "axi_aresetn"}, "ARPROT": { "actual": "m00_axi_arprot"}, "ARREADY": { "actual": "m00_axi_arready"}, "ARVALID": { "actual": "m00_axi_arvalid"}, "AWADDR": { "actual": "m00_axi_awaddr"}, "AWPROT": { "actual": "m00_axi_awprot"}, "AWREADY": { "actual": "m00_axi_awready"}, "AWVALID": { "actual": "m00_axi_awvalid"}, "BREADY": { "actual": "m00_axi_bready"}, "BRESP": { "actual": "m00_axi_bresp"}, "BVALID": { "actual": "m00_axi_bvalid"}, "RDATA": { "actual": "m00_axi_rdata"}, "RREADY": { "actual": "m00_axi_rready"}, "RRESP": { "actual": "m00_axi_rresp"}, "RVALID": { "actual": "m00_axi_rvalid"}, "WDATA": { "actual": "m00_axi_wdata"}, "WREADY": { "actual": "m00_axi_wready"}, "WSTRB": { "actual": "m00_axi_wstrb"}, "WVALID": { "actual": "m00_axi_wvalid"} } }, "/axi1to2_0/m01_axi": { "interface": "xilinx.com:interface:aximm:1.0", "ports": { "ACLK": { "actual": "axi_aclk"}, "ARADDR": { "actual": "m01_axi_araddr"}, "ARESETN": { "actual": "axi_aresetn"}, "ARPROT": { "actual": "m01_axi_arprot"}, "ARREADY": { "actual": "m01_axi_arready"}, "ARVALID": { "actual": "m01_axi_arvalid"}, "AWADDR": { "actual": "m01_axi_awaddr"}, "AWPROT": { "actual": "m01_axi_awprot"}, "AWREADY": { "actual": "m01_axi_awready"}, "AWVALID": { "actual": "m01_axi_awvalid"}, "BREADY": { "actual": "m01_axi_bready"}, "BRESP": { "actual": "m01_axi_bresp"}, "BVALID": { "actual": "m01_axi_bvalid"}, "RDATA": { "actual": "m01_axi_rdata"}, "RREADY": { "actual": "m01_axi_rready"}, "RRESP": { "actual": "m01_axi_rresp"}, "RVALID": { "actual": "m01_axi_rvalid"}, "WDATA": { "actual": "m01_axi_wdata"}, "WREADY": { "actual": "m01_axi_wready"}, "WSTRB": { "actual": "m01_axi_wstrb"}, "WVALID": { "actual": "m01_axi_wvalid"} } }, "/axi1to2_0/s00_axi": { "interface": "xilinx.com:interface:aximm:1.0", "ports": { "ACLK": { "actual": "axi_aclk"}, "ARADDR": { "actual": "s00_axi_araddr"}, "ARESETN": { "actual": "axi_aresetn"}, "ARPROT": { "actual": "s00_axi_arprot"}, "ARREADY": { "actual": "s00_axi_arready"}, "ARVALID": { "actual": "s00_axi_arvalid"}, "AWADDR": { "actual": "s00_axi_awaddr"}, "AWPROT": { "actual": "s00_axi_awprot"}, "AWREADY": { "actual": "s00_axi_awready"}, "AWVALID": { "actual": "s00_axi_awvalid"}, "BREADY": { "actual": "s00_axi_bready"}, "BRESP": { "actual": "s00_axi_bresp"}, "BVALID": { "actual": "s00_axi_bvalid"}, "RDATA": { "actual": "s00_axi_rdata"}, "RREADY": { "actual": "s00_axi_rready"}, "RRESP": { "actual": "s00_axi_rresp"}, "RVALID": { "actual": "s00_axi_rvalid"}, "WDATA": { "actual": "s00_axi_wdata"}, "WREADY": { "actual": "s00_axi_wready"}, "WSTRB": { "actual": "s00_axi_wstrb"}, "WVALID": { "actual": "s00_axi_wvalid"} } }, "/hdl4se_uart_ctrl_axi_0/s00_axi": { "interface": "xilinx.com:interface:aximm:1.0", "ports": { "ACLK": { "actual": "s00_axi_aclk"}, "ARADDR": { "actual": "s00_axi_araddr"}, "ARESETN": { "actual": "s00_axi_aresetn"}, "ARPROT": { "actual": "s00_axi_arprot"}, "ARREADY": { "actual": "s00_axi_arready"}, "ARVALID": { "actual": "s00_axi_arvalid"}, "AWADDR": { "actual": "s00_axi_awaddr"}, "AWPROT": { "actual": "s00_axi_awprot"}, "AWREADY": { "actual": "s00_axi_awready"}, "AWVALID": { "actual": "s00_axi_awvalid"}, "BREADY": { "actual": "s00_axi_bready"}, "BRESP": { "actual": "s00_axi_bresp"}, "BVALID": { "actual": "s00_axi_bvalid"}, "RDATA": { "actual": "s00_axi_rdata"}, "RREADY": { "actual": "s00_axi_rready"}, "RRESP": { "actual": "s00_axi_rresp"}, "RVALID": { "actual": "s00_axi_rvalid"}, "WDATA": { "actual": "s00_axi_wdata"}, "WREADY": { "actual": "s00_axi_wready"}, "WSTRB": { "actual": "s00_axi_wstrb"}, "WVALID": { "actual": "s00_axi_wvalid"} } }, "/led_key_0/s00_axi": { "interface": "xilinx.com:interface:aximm:1.0", "ports": { "ACLK": { "actual": "s00_axi_aclk"}, "ARADDR": { "actual": "s00_axi_araddr"}, "ARESETN": { "actual": "s00_axi_aresetn"}, "ARPROT": { "actual": "s00_axi_arprot"}, "ARREADY": { "actual": "s00_axi_arready"}, "ARVALID": { "actual": "s00_axi_arvalid"}, "AWADDR": { "actual": "s00_axi_awaddr"}, "AWPROT": { "actual": "s00_axi_awprot"}, "AWREADY": { "actual": "s00_axi_awready"}, "AWVALID": { "actual": "s00_axi_awvalid"}, "BREADY": { "actual": "s00_axi_bready"}, "BRESP": { "actual": "s00_axi_bresp"}, "BVALID": { "actual": "s00_axi_bvalid"}, "RDATA": { "actual": "s00_axi_rdata"}, "RREADY": { "actual": "s00_axi_rready"}, "RRESP": { "actual": "s00_axi_rresp"}, "RVALID": { "actual": "s00_axi_rvalid"}, "WDATA": { "actual": "s00_axi_wdata"}, "WREADY": { "actual": "s00_axi_wready"}, "WSTRB": { "actual": "s00_axi_wstrb"}, "WVALID": { "actual": "s00_axi_wvalid"} } }, "/riscv_core_with_axi_0/m00_axi": { "interface": "xilinx.com:interface:aximm:1.0", "ports": { "ACLK": { "actual": "m00_axi_aclk"}, "ARADDR": { "actual": "m00_axi_araddr"}, "ARESETN": { "actual": "m00_axi_aresetn"}, "ARPROT": { "actual": "m00_axi_arprot"}, "ARREADY": { "actual": "m00_axi_arready"}, "ARVALID": { "actual": "m00_axi_arvalid"}, "AWADDR": { "actual": "m00_axi_awaddr"}, "AWPROT": { "actual": "m00_axi_awprot"}, "AWREADY": { "actual": "m00_axi_awready"}, "AWVALID": { "actual": "m00_axi_awvalid"}, "BREADY": { "actual": "m00_axi_bready"}, "BRESP": { "actual": "m00_axi_bresp"}, "BVALID": { "actual": "m00_axi_bvalid"}, "RDATA": { "actual": "m00_axi_rdata"}, "RREADY": { "actual": "m00_axi_rready"}, "RRESP": { "actual": "m00_axi_rresp"}, "RVALID": { "actual": "m00_axi_rvalid"}, "WDATA": { "actual": "m00_axi_wdata"}, "WREADY": { "actual": "m00_axi_wready"}, "WSTRB": { "actual": "m00_axi_wstrb"}, "WVALID": { "actual": "m00_axi_wvalid"} } } } } } }