#----------------------------------------------------------- # Vivado v2021.1 (64-bit) # SW Build 3247384 on Thu Jun 10 19:36:33 MDT 2021 # IP Build 3246043 on Fri Jun 11 00:30:35 MDT 2021 # Start of session at: Tue Sep 14 06:27:31 2021 # Process ID: 34692 # Current directory: D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.runs/impl_1 # Command line: vivado.exe -log risc_axi_v5_top_wrapper.vdi -applog -product Vivado -messageDb vivado.pb -mode batch -source risc_axi_v5_top_wrapper.tcl -notrace # Log file: D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.runs/impl_1/risc_axi_v5_top_wrapper.vdi # Journal file: D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.runs/impl_1\vivado.jou #----------------------------------------------------------- source risc_axi_v5_top_wrapper.tcl -notrace