TLDump.scala 16.9 KB
Newer Older
L
Lemover 已提交
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

L
linjiawei 已提交
16 17
package utils

18
import chipsalliance.rocketchip.config.Parameters
L
linjiawei 已提交
19
import chisel3._
B
BigWhiteDog 已提交
20 21 22
import chisel3.util._
import freechips.rocketchip.tilelink.TLMessages._
import freechips.rocketchip.tilelink.TLPermissions._
L
linjiawei 已提交
23 24
import freechips.rocketchip.tilelink.{TLBundle, TLBundleA, TLBundleB, TLBundleC, TLBundleD, TLBundleE, TLChannel}

B
BigWhiteDog 已提交
25
trait HasTLDump {
L
linjiawei 已提交
26

27 28
  implicit val p: Parameters

L
LinJiawei 已提交
29 30 31
  implicit class TLDump(channel: TLChannel) {
    def dump = channel match {
      case a: TLBundleA =>
B
BigWhiteDog 已提交
32 33 34 35 36 37 38 39 40 41 42 43 44 45 46
        printChannelA(a)
      case b: TLBundleB =>
        printChannelB(b)
      case c: TLBundleC =>
        printChannelC(c)
      case d: TLBundleD =>
        printChannelD(d)
      case e: TLBundleE =>
        printChannelE(e)
    }
  }

  def printChannelA(a: TLBundleA): Unit = {
    switch(a.opcode) {
      is(PutFullData) {
L
LinJiawei 已提交
47
        XSDebug(false, true.B,
B
BigWhiteDog 已提交
48 49
          a.channelName + " PutFullData param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          a.param, a.size, a.source, a.address, a.mask, a.data, a.corrupt
L
LinJiawei 已提交
50
        )
B
BigWhiteDog 已提交
51 52 53
      }

      is(PutPartialData) {
L
LinJiawei 已提交
54
        XSDebug(false, true.B,
B
BigWhiteDog 已提交
55 56
          a.channelName + " PutPartialData param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          a.param, a.size, a.source, a.address, a.mask, a.data, a.corrupt
L
LinJiawei 已提交
57
        )
B
BigWhiteDog 已提交
58 59 60
      }

      is(ArithmeticData) {
L
LinJiawei 已提交
61
        XSDebug(false, true.B,
B
BigWhiteDog 已提交
62 63
          a.channelName + " ArithmeticData param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          a.param, a.size, a.source, a.address, a.mask, a.data, a.corrupt
L
LinJiawei 已提交
64
        )
B
BigWhiteDog 已提交
65 66 67
      }

      is(LogicalData) {
L
LinJiawei 已提交
68
        XSDebug(false, true.B,
B
BigWhiteDog 已提交
69 70
          a.channelName + " LogicalData param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          a.param, a.size, a.source, a.address, a.mask, a.data, a.corrupt
L
LinJiawei 已提交
71
        )
B
BigWhiteDog 已提交
72 73 74 75 76 77 78 79 80 81 82 83 84 85 86 87 88 89 90 91 92 93 94 95 96 97 98 99 100 101 102 103 104 105 106 107 108 109 110 111 112 113 114 115 116 117 118 119 120 121 122 123 124 125 126 127 128 129 130 131 132 133
      }

      is(Get) {
        XSDebug(false, true.B,
          a.channelName + " Get param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          a.param, a.size, a.source, a.address, a.mask, a.data, a.corrupt
        )
      }

      is(Hint) {
        XSDebug(false, true.B,
          a.channelName + " Intent param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          a.param, a.size, a.source, a.address, a.mask, a.data, a.corrupt
        )
      }

      is(AcquireBlock) {
        switch(a.param) {
          is(NtoB) {
            XSDebug(false, true.B,
              a.channelName + " AcquireBlock NtoB size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              a.size, a.source, a.address, a.mask, a.data, a.corrupt
            )
          }
          is(NtoT) {
            XSDebug(false, true.B,
              a.channelName + " AcquireBlock NtoT size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              a.size, a.source, a.address, a.mask, a.data, a.corrupt
            )
          }
          is(BtoT) {
            XSDebug(false, true.B,
              a.channelName + " AcquireBlock BtoT size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              a.size, a.source, a.address, a.mask, a.data, a.corrupt
            )
          }
        }
      }

      is(AcquirePerm) {
        switch(a.param) {
          is(NtoB) {
            XSDebug(false, true.B,
              a.channelName + " AcquirePerm NtoB size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              a.size, a.source, a.address, a.mask, a.data, a.corrupt
            )
          }
          is(NtoT) {
            XSDebug(false, true.B,
              a.channelName + " AcquirePerm NtoT size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              a.size, a.source, a.address, a.mask, a.data, a.corrupt
            )
          }
          is(BtoT) {
            XSDebug(false, true.B,
              a.channelName + " AcquirePerm BtoT size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              a.size, a.source, a.address, a.mask, a.data, a.corrupt
            )
          }
        }
      }

L
LinJiawei 已提交
134
    }
L
linjiawei 已提交
135
  }
B
BigWhiteDog 已提交
136 137 138 139 140 141 142 143 144 145 146 147 148 149 150 151 152 153 154 155 156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303 304 305 306 307 308 309 310 311 312 313 314 315 316 317 318 319 320 321 322 323 324 325 326 327 328 329 330 331 332 333 334 335 336 337 338 339 340 341 342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387 388 389 390 391 392 393 394 395 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413 414 415 416 417 418 419 420 421 422 423 424 425 426 427 428 429 430 431 432 433 434 435 436 437 438 439 440 441 442 443 444 445 446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 465 466 467 468 469 470 471 472 473 474 475 476 477 478 479

  def printChannelB(b: TLBundleB): Unit = {
    switch(b.opcode) {
      is(PutFullData) {
        XSDebug(false, true.B,
          b.channelName + " PutFullData param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          b.param, b.size, b.source, b.address, b.mask, b.data, b.corrupt
        )
      }

      is(PutPartialData) {
        XSDebug(false, true.B,
          b.channelName + " PutPartialData param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          b.param, b.size, b.source, b.address, b.mask, b.data, b.corrupt
        )
      }

      is(ArithmeticData) {
        XSDebug(false, true.B,
          b.channelName + " ArithmeticData param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          b.param, b.size, b.source, b.address, b.mask, b.data, b.corrupt
        )
      }

      is(LogicalData) {
        XSDebug(false, true.B,
          b.channelName + " LogicalData param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          b.param, b.size, b.source, b.address, b.mask, b.data, b.corrupt
        )
      }

      is(Get) {
        XSDebug(false, true.B,
          b.channelName + " Get param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          b.param, b.size, b.source, b.address, b.mask, b.data, b.corrupt
        )
      }

      is(Hint) {
        XSDebug(false, true.B,
          b.channelName + " Intent param: %x size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
          b.param, b.size, b.source, b.address, b.mask, b.data, b.corrupt
        )
      }

      is(Probe) {
        switch(b.param) {
          is(toN) {
            XSDebug(false, true.B,
              b.channelName + " Probe toN size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              b.size, b.source, b.address, b.mask, b.data, b.corrupt
            )
          }
          is(toB) {
            XSDebug(false, true.B,
              b.channelName + " Probe toB size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              b.size, b.source, b.address, b.mask, b.data, b.corrupt
            )
          }
          is(toT) {
            XSDebug(false, true.B,
              b.channelName + " Probe toT size: %x source: %d address: %x mask: %x data: %x corrupt: %b\n",
              b.size, b.source, b.address, b.mask, b.data, b.corrupt
            )
          }
        }
      }

    }
  }

  def printChannelC(c: TLBundleC): Unit = {
    switch(c.opcode) {
      is(AccessAck) {
        XSDebug(false, true.B,
          c.channelName + " AccessAck param: %x size: %x source: %d address: %x data: %x corrupt: %b\n",
          c.param, c.size, c.source, c.address, c.data, c.corrupt
        )
      }

      is(AccessAckData) {
        XSDebug(false, true.B,
          c.channelName + " AccessAckData param: %x size: %x source: %d address: %x data: %x corrupt: %b\n",
          c.param, c.size, c.source, c.address, c.data, c.corrupt
        )
      }

      is(HintAck) {
        XSDebug(false, true.B,
          c.channelName + " HintAck param: %x size: %x source: %d address: %x data: %x corrupt: %b\n",
          c.param, c.size, c.source, c.address, c.data, c.corrupt
        )
      }

      is(ProbeAck) {
        switch(c.param) {
          is(TtoB) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAck TtoB size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(TtoN) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAck TtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(BtoN) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAck BtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(TtoT) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAck TtoT size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(BtoB) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAck BtoB size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(NtoN) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAck NtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
        }
      }

      is(ProbeAckData) {
        switch(c.param) {
          is(TtoB) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAckData TtoB size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(TtoN) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAckData TtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(BtoN) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAckData BtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(TtoT) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAckData TtoT size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(BtoB) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAckData BtoB size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(NtoN) {
            XSDebug(false, true.B,
              c.channelName + " ProbeAckData NtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
        }
      }

      is(Release) {
        switch(c.param) {
          is(TtoB) {
            XSDebug(false, true.B,
              c.channelName + " Release TtoB size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(TtoN) {
            XSDebug(false, true.B,
              c.channelName + " Release TtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(BtoN) {
            XSDebug(false, true.B,
              c.channelName + " Release BtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(TtoT) {
            XSDebug(false, true.B,
              c.channelName + " Release TtoT size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(BtoB) {
            XSDebug(false, true.B,
              c.channelName + " Release BtoB size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(NtoN) {
            XSDebug(false, true.B,
              c.channelName + " Release NtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
        }
      }

      is(ReleaseData) {
        switch(c.param) {
          is(TtoB) {
            XSDebug(false, true.B,
              c.channelName + " ReleaseData TtoB size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(TtoN) {
            XSDebug(false, true.B,
              c.channelName + " ReleaseData TtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(BtoN) {
            XSDebug(false, true.B,
              c.channelName + " ReleaseData BtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(TtoT) {
            XSDebug(false, true.B,
              c.channelName + " ReleaseData TtoT size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(BtoB) {
            XSDebug(false, true.B,
              c.channelName + " ReleaseData BtoB size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
          is(NtoN) {
            XSDebug(false, true.B,
              c.channelName + " ReleaseData NtoN size: %x source: %d address: %x data: %x corrupt: %b\n",
              c.size, c.source, c.address, c.data, c.corrupt
            )
          }
        }
      }

    }
  }

  def printChannelD(d: TLBundleD): Unit = {
    switch(d.opcode) {
      is(AccessAck) {
        XSDebug(false, true.B,
          d.channelName + " AccessAck param: %x size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
          d.param, d.size, d.source, d.sink, d.denied, d.data, d.corrupt
        )
      }

      is(AccessAckData) {
        XSDebug(false, true.B,
          d.channelName + " AccessAckData param: %x size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
          d.param, d.size, d.source, d.sink, d.denied, d.data, d.corrupt
        )
      }

      is(HintAck) {
        XSDebug(false, true.B,
          d.channelName + " HintAck param: %x size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
          d.param, d.size, d.source, d.sink, d.denied, d.data, d.corrupt
        )
      }

      is(Grant) {
        switch(d.param) {
          is(toT) {
            XSDebug(false, true.B,
              d.channelName + " Grant toT size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
              d.size, d.source, d.sink, d.denied, d.data, d.corrupt
            )
          }
          is(toB) {
            XSDebug(false, true.B,
              d.channelName + " Grant toB size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
              d.size, d.source, d.sink, d.denied, d.data, d.corrupt
            )
          }
          is(toN) {
            XSDebug(false, true.B,
              d.channelName + " Grant toN size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
              d.size, d.source, d.sink, d.denied, d.data, d.corrupt
            )
          }
        }
      }

      is(GrantData) {
        switch(d.param) {
          is(toT) {
            XSDebug(false, true.B,
              d.channelName + " GrantData toT size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
              d.size, d.source, d.sink, d.denied, d.data, d.corrupt
            )
          }
          is(toB) {
            XSDebug(false, true.B,
              d.channelName + " GrantData toB size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
              d.size, d.source, d.sink, d.denied, d.data, d.corrupt
            )
          }
          is(toN) {
            XSDebug(false, true.B,
              d.channelName + " GrantData toN size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
              d.size, d.source, d.sink, d.denied, d.data, d.corrupt
            )
          }
        }
      }

      is(ReleaseAck) {
        XSDebug(false, true.B,
          d.channelName + " ReleaseAck param: %x size: %x source: %d sink: %d denied: %b data: %x corrupt: %b\n",
          d.param, d.size, d.source, d.sink, d.denied, d.data, d.corrupt
        )
      }

    }
  }

  def printChannelE(e: TLBundleE): Unit = {
    XSDebug(false, true.B, e.channelName + "GrantAck sink: %d\n", e.sink)
  }

L
linjiawei 已提交
480
}