FunctionUnit.scala 3.4 KB
Newer Older
L
Lemover 已提交
1 2 3 4 5 6 7 8 9 10 11 12 13 14 15
/***************************************************************************************
* Copyright (c) 2020-2021 Institute of Computing Technology, Chinese Academy of Sciences
*
* XiangShan is licensed under Mulan PSL v2.
* You can use this software according to the terms and conditions of the Mulan PSL v2.
* You may obtain a copy of Mulan PSL v2 at:
*          http://license.coscl.org.cn/MulanPSL2
*
* THIS SOFTWARE IS PROVIDED ON AN "AS IS" BASIS, WITHOUT WARRANTIES OF ANY KIND,
* EITHER EXPRESS OR IMPLIED, INCLUDING BUT NOT LIMITED TO NON-INFRINGEMENT,
* MERCHANTABILITY OR FIT FOR A PARTICULAR PURPOSE.
*
* See the Mulan PSL v2 for more details.
***************************************************************************************/

L
LinJiawei 已提交
16 17
package xiangshan.backend.fu

18
import chipsalliance.rocketchip.config.Parameters
L
LinJiawei 已提交
19 20 21
import chisel3._
import chisel3.util._
import xiangshan._
L
LinJiawei 已提交
22
import xiangshan.backend.fu.fpu._
L
LinJiawei 已提交
23

24 25 26 27
trait HasFuLatency {
  val latencyVal: Option[Int]
}

28
case class CertainLatency(value: Int) extends HasFuLatency {
29 30 31 32 33 34 35
  override val latencyVal: Option[Int] = Some(value)
}

case class UncertainLatency() extends HasFuLatency {
  override val latencyVal: Option[Int] = None
}

36

L
LinJiawei 已提交
37 38
case class FuConfig
(
39
  fuGen: Parameters => FunctionUnit,
40
  fuSel: FunctionUnit => Bool,
L
LinJiawei 已提交
41 42 43 44 45
  fuType: UInt,
  numIntSrc: Int,
  numFpSrc: Int,
  writeIntRf: Boolean,
  writeFpRf: Boolean,
46
  hasRedirect: Boolean,
47
  latency: HasFuLatency = CertainLatency(0),
L
LinJiawei 已提交
48 49 50 51
) {
  def srcCnt: Int = math.max(numIntSrc, numFpSrc)
}

L
LinJiawei 已提交
52

53
class FuOutput(val len: Int)(implicit p: Parameters) extends XSBundle {
54
  val data = UInt(len.W)
L
LinJiawei 已提交
55 56 57 58
  val uop = new MicroOp
}


59
class FunctionUnitIO(val len: Int)(implicit p: Parameters) extends XSBundle {
L
LinJiawei 已提交
60
  val in = Flipped(DecoupledIO(new Bundle() {
61
    val src = Vec(3, UInt(len.W))
L
LinJiawei 已提交
62
    val uop = new MicroOp
L
Add CSR  
LinJiawei 已提交
63
  }))
64

65
  val out = DecoupledIO(new FuOutput(len))
66

L
LinJiawei 已提交
67
  val redirectIn = Flipped(ValidIO(new Redirect))
68
  val flushIn = Input(Bool())
L
LinJiawei 已提交
69 70
}

71
abstract class FunctionUnit(len: Int = 64)(implicit p: Parameters) extends XSModule {
L
LinJiawei 已提交
72

73
  val io = IO(new FunctionUnitIO(len))
L
LinJiawei 已提交
74

L
Add CSR  
LinJiawei 已提交
75 76
}

77 78
trait HasPipelineReg {
  this: FunctionUnit =>
L
LinJiawei 已提交
79

L
LinJiawei 已提交
80
  def latency: Int
L
LinJiawei 已提交
81

82
  require(latency > 0)
L
LinJiawei 已提交
83 84 85 86 87 88

  val validVec = io.in.valid +: Array.fill(latency)(RegInit(false.B))
  val rdyVec = Array.fill(latency)(Wire(Bool())) :+ io.out.ready
  val uopVec = io.in.bits.uop +: Array.fill(latency)(Reg(new MicroOp))


L
LinJiawei 已提交
89
  // if flush(0), valid 0 will not given, so set flushVec(0) to false.B
90
  val flushVec = validVec.zip(uopVec).map(x => x._1 && x._2.roqIdx.needFlush(io.redirectIn, io.flushIn))
L
LinJiawei 已提交
91 92 93 94 95 96

  for (i <- 0 until latency) {
    rdyVec(i) := !validVec(i + 1) || rdyVec(i + 1)
  }

  for (i <- 1 to latency) {
L
LinJiawei 已提交
97
    when(rdyVec(i - 1) && validVec(i - 1) && !flushVec(i - 1)){
L
LinJiawei 已提交
98 99
      validVec(i) := validVec(i - 1)
      uopVec(i) := uopVec(i - 1)
L
LinJiawei 已提交
100 101
    }.elsewhen(flushVec(i) || rdyVec(i)){
      validVec(i) := false.B
L
LinJiawei 已提交
102 103 104 105
    }
  }

  io.in.ready := rdyVec(0)
L
LinJiawei 已提交
106
  io.out.valid := validVec.last
L
LinJiawei 已提交
107 108
  io.out.bits.uop := uopVec.last

109 110
  def regEnable(i: Int): Bool = validVec(i - 1) && rdyVec(i - 1) && !flushVec(i - 1)

L
LinJiawei 已提交
111 112
  def PipelineReg[TT <: Data](i: Int)(next: TT) = RegEnable(
    next,
113
    enable = regEnable(i)
L
LinJiawei 已提交
114 115 116 117 118 119 120 121 122 123 124 125
  )

  def S1Reg[TT <: Data](next: TT): TT = PipelineReg[TT](1)(next)

  def S2Reg[TT <: Data](next: TT): TT = PipelineReg[TT](2)(next)

  def S3Reg[TT <: Data](next: TT): TT = PipelineReg[TT](3)(next)

  def S4Reg[TT <: Data](next: TT): TT = PipelineReg[TT](4)(next)

  def S5Reg[TT <: Data](next: TT): TT = PipelineReg[TT](5)(next)
}