提交 60a1924a 编写于 作者: 饶先宏's avatar 饶先宏

202109132129

上级 7cdd5483
......@@ -305,20 +305,23 @@ module riscv_core_v5(
//DEFINE_FUNC(riscv_core_reg_gen_lastv, "state, instr, ldaddr") {
always @(posedge wClk)
if (state == `RISCVSTATE_WAIT_LD) begin
lastaddr <= ldaddr;
if (func3 == 1 && ldaddr[1:0] == 3) begin /* lh */
lastv <= {24'b0, bReadData[31:24]};
end
else if (func3 == 2 && ldaddr[1:0] != 0) begin /* lw */
if (ldaddr[1:0] == 1)
lastv <= {8'b0, bReadData[31:8]};
else if (ldaddr[1:0] == 2)
lastv <= {16'b0, bReadData[31:16]};
else
//if (wReadReady)
begin
lastaddr <= ldaddr;
if (func3 == 1 && ldaddr[1:0] == 3) begin /* lh */
lastv <= {24'b0, bReadData[31:24]};
end
else if (func3 == 5 && ldaddr[1:0] == 3) begin /* lhu */
lastv <= {24'b0, bReadData[31:24]};
end
else if (func3 == 2 && ldaddr[1:0] != 0) begin /* lw */
if (ldaddr[1:0] == 1)
lastv <= {8'b0, bReadData[31:8]};
else if (ldaddr[1:0] == 2)
lastv <= {16'b0, bReadData[31:16]};
else
lastv <= {24'b0, bReadData[31:24]};
end
else if (func3 == 5 && ldaddr[1:0] == 3) begin /* lhu */
lastv <= {24'b0, bReadData[31:24]};
end
end
end else if (state == `RISCVSTATE_EXEC_INST) begin
lastaddr <= rs1 + imm;
......@@ -499,20 +502,25 @@ module riscv_core_v5(
state <= `RISCVSTATE_READ_REGS;
end
`RISCVSTATE_WAIT_LD: begin
if (func3 == 1 && ldaddr[1:0] == 3) begin /* lh */
state <= `RISCVSTATE_WAIT_LD2;
end
else if (func3 == 2 && ldaddr[1:0] != 0) begin /* lw */
state <= `RISCVSTATE_WAIT_LD2;
end
else if (func3 == 5 && ldaddr[1:0] == 3) begin /* lhu */
state <= `RISCVSTATE_WAIT_LD2;
end
else begin
state <= `RISCVSTATE_READ_REGS;
if (wReadReady) begin
if (func3 == 1 && ldaddr[1:0] == 3) begin /* lh */
state <= `RISCVSTATE_WAIT_LD2;
end
else if (func3 == 2 && ldaddr[1:0] != 0) begin /* lw */
state <= `RISCVSTATE_WAIT_LD2;
end
else if (func3 == 5 && ldaddr[1:0] == 3) begin /* lhu */
state <= `RISCVSTATE_WAIT_LD2;
end
else begin
state <= `RISCVSTATE_READ_REGS;
end
end
end
`RISCVSTATE_WAIT_LD2: state <= `RISCVSTATE_READ_REGS;
`RISCVSTATE_WAIT_LD2:
if (wReadReady) begin
state <= `RISCVSTATE_READ_REGS;
end
`RISCVSTATE_WAIT_ST: begin
state <= `RISCVSTATE_READ_REGS;
if (opcode == 5'h08) begin
......@@ -771,7 +779,7 @@ module riscv_core_v5(
dstvalue = 0;
case (state)
`RISCVSTATE_WAIT_LD: begin
dstreg = readreg;
dstreg = wReadReady?readreg:0;
case (func3)
0: begin
case (ldaddr[1:0])
......@@ -809,7 +817,7 @@ module riscv_core_v5(
endcase
end
`RISCVSTATE_WAIT_LD2: begin
dstreg = rd;
dstreg = wReadReady?rd:0;
if (func3 == 1 && ldaddr[1:0] == 3) begin
dstvalue = {{16{bReadData[7]}}, bReadData[7:0], lastv[7:0]};
end
......@@ -1029,6 +1037,14 @@ module riscv_core_v5(
endcase
end
reg [31:0] lastReadAddr;
reg lastRead;
always @(posedge wClk) begin
lastRead <= wRead;
lastReadAddr <= bReadAddr;
end
//DEFINE_FUNC(riscv_core_read_sig, "state, pc, instr, bReadData, rs1") {
always @(state or pc or opcode or imm or rs1 or newpc or func3 or ldaddr or lastaddr
`ifdef USEMUL32
......@@ -1046,8 +1062,15 @@ module riscv_core_v5(
wRead = 0;
bReadAddr = 0;
case (state)
`RISCVSTATE_WAIT_LD2:
if (wReadReady) begin
wRead = 1;
bReadAddr = pc;
end else begin
wRead = lastRead;
bReadAddr = lastReadAddr;
end
`RISCVSTATE_WAIT_ST2,
`RISCVSTATE_WAIT_LD2,
`RISCVSTATE_READ_INST: begin
wRead = 1;
bReadAddr = pc;
......@@ -1066,21 +1089,26 @@ module riscv_core_v5(
end
end
`RISCVSTATE_WAIT_LD: begin
if (func3 == 1 && ldaddr[1:0] == 3) begin /* lh */
wRead = 1;
bReadAddr = ldaddr + 4;
end
else if (func3 == 2 && ldaddr[1:0] != 0) begin /* lw */
wRead = 1;
bReadAddr = ldaddr + 4;
end
else if (func3 == 5 && ldaddr[1:0] == 3) begin /* lhu */
wRead = 1;
bReadAddr = ldaddr + 4;
end
else begin
wRead = 1;
bReadAddr = pc;
if (wReadReady) begin
if (func3 == 1 && ldaddr[1:0] == 3) begin /* lh */
wRead = 1;
bReadAddr = ldaddr + 4;
end
else if (func3 == 2 && ldaddr[1:0] != 0) begin /* lw */
wRead = 1;
bReadAddr = ldaddr + 4;
end
else if (func3 == 5 && ldaddr[1:0] == 3) begin /* lhu */
wRead = 1;
bReadAddr = ldaddr + 4;
end
else begin
wRead = 1;
bReadAddr = pc;
end
end else begin
wRead = lastRead;
bReadAddr = lastReadAddr;
end
end
`RISCVSTATE_WAIT_ST: begin
......
......@@ -103,7 +103,7 @@ module riscv_core_with_axi_master (
regfile regs(regno, regena, wClk, regwrdata, regwren, regrddata);
regfile regs2(regno2, regena2, wClk, regwrdata2, regwren2, regrddata2);
`define ALTERA
`define ALTERA_
`ifdef ALTERA
ram4kB ram(.clock(wClk), .address(ramaddr), .byteena(~bWriteMask), .data(bWriteData), .wren(((bWriteAddr & 32'hff000000) == 0)?wWrite:1'b0), .q(bReadDataRam));
......
......@@ -194,20 +194,25 @@ module hdl4se_uart_ctrl_axi
axi_rvalid <= 0;
recv_buf_read <= 1'b0;
axi_rresp <= 0;
axi_arready <= 0;
end else if (s00_axi_arvalid) begin
recv_buf_read <= 1'b0;
axi_rvalid <= 0;
axi_arready <= 0;
if ((s00_axi_araddr & 4'hf) == 8) begin /* read state */
axi_rdata <= ctl_state;
axi_rvalid <= 1;
axi_arready <= 1;
end else if ((s00_axi_araddr & 4'hf) == 0) begin/* read recv */
axi_rdata <= {recv_buf_empty, 23'b0, recv_buf_q};
recv_buf_read <= ~recv_buf_empty;
axi_rvalid <= 1;
axi_arready <= 1;
end
end else begin
recv_buf_read <= 0;
axi_rvalid <= 0;
axi_arready <= 0;
end
end
......
......@@ -3,10 +3,10 @@
<!--The data in this file is primarily intended for consumption by Xilinx tools.
The structure and the elements are likely to change over the next few releases.
This means code written to parse this file will need to be revisited each subsequent release.-->
<application name="pa" timeStamp="Mon Sep 13 13:47:49 2021">
<application name="pa" timeStamp="Mon Sep 13 21:25:07 2021">
<section name="Project Information" visible="false">
<property name="ProjectID" value="a22297d9082e42c7bf714eb0954ec847" type="ProjectID"/>
<property name="ProjectIteration" value="20" type="ProjectIteration"/>
<property name="ProjectIteration" value="29" type="ProjectIteration"/>
</section>
<section name="PlanAhead Usage" visible="true">
<item name="Project Data">
......@@ -18,7 +18,7 @@ This means code written to parse this file will need to be revisited each subseq
</item>
<item name="Java Command Handlers">
<property name="AddSources" value="7" type="JavaHandler"/>
<property name="AutoConnectTarget" value="4" type="JavaHandler"/>
<property name="AutoConnectTarget" value="5" type="JavaHandler"/>
<property name="CoreView" value="2" type="JavaHandler"/>
<property name="CreateBlockDesign" value="1" type="JavaHandler"/>
<property name="CreateTopHDL" value="1" type="JavaHandler"/>
......@@ -28,36 +28,37 @@ This means code written to parse this file will need to be revisited each subseq
<property name="EditProperties" value="2" type="JavaHandler"/>
<property name="ExitApp" value="4" type="JavaHandler"/>
<property name="IPPackagerWizardHandler" value="4" type="JavaHandler"/>
<property name="LaunchProgramFpga" value="9" type="JavaHandler"/>
<property name="LaunchProgramFpga" value="15" type="JavaHandler"/>
<property name="ManageCompositeTargets" value="2" type="JavaHandler"/>
<property name="OpenBlockDesign" value="6" type="JavaHandler"/>
<property name="OpenHardwareManager" value="14" type="JavaHandler"/>
<property name="OpenBlockDesign" value="8" type="JavaHandler"/>
<property name="OpenHardwareManager" value="21" type="JavaHandler"/>
<property name="OpenProject" value="1" type="JavaHandler"/>
<property name="OpenRecentTarget" value="5" type="JavaHandler"/>
<property name="ProgramDevice" value="9" type="JavaHandler"/>
<property name="ProjectSummary" value="7" type="JavaHandler"/>
<property name="RecustomizeCore" value="7" type="JavaHandler"/>
<property name="RunBitgen" value="19" type="JavaHandler"/>
<property name="OpenRecentTarget" value="6" type="JavaHandler"/>
<property name="ProgramDevice" value="15" type="JavaHandler"/>
<property name="ProjectSummary" value="8" type="JavaHandler"/>
<property name="RecustomizeCore" value="11" type="JavaHandler"/>
<property name="RunBitgen" value="29" type="JavaHandler"/>
<property name="RunImplementation" value="1" type="JavaHandler"/>
<property name="RunSynthesis" value="4" type="JavaHandler"/>
<property name="SaveRSBDesign" value="1" type="JavaHandler"/>
<property name="ShowProductGuide" value="1" type="JavaHandler"/>
<property name="ShowProductWebPage" value="2" type="JavaHandler"/>
<property name="ShowView" value="8" type="JavaHandler"/>
<property name="SimulationRestart" value="8" type="JavaHandler"/>
<property name="SimulationRun" value="12" type="JavaHandler"/>
<property name="SimulationRunForTime" value="35" type="JavaHandler"/>
<property name="ShowView" value="11" type="JavaHandler"/>
<property name="SimulationRestart" value="18" type="JavaHandler"/>
<property name="SimulationRun" value="15" type="JavaHandler"/>
<property name="SimulationRunForTime" value="46" type="JavaHandler"/>
<property name="TimingConstraintsWizard" value="1" type="JavaHandler"/>
<property name="ToolsSettings" value="2" type="JavaHandler"/>
<property name="ViewTaskProjectManager" value="4" type="JavaHandler"/>
<property name="ViewTaskProjectManager" value="6" type="JavaHandler"/>
<property name="ViewTaskRTLAnalysis" value="1" type="JavaHandler"/>
</item>
<item name="Gui Handlers">
<property name="ApplyRSBMultiAutomationDialog_CHECKBOX_TREE" value="2" type="GuiHandlerData"/>
<property name="BaseDialog_APPLY" value="1" type="GuiHandlerData"/>
<property name="BaseDialog_CANCEL" value="21" type="GuiHandlerData"/>
<property name="BaseDialog_OK" value="56" type="GuiHandlerData"/>
<property name="BaseDialog_OK" value="66" type="GuiHandlerData"/>
<property name="BaseDialog_YES" value="7" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OK" value="10" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OK" value="15" type="GuiHandlerData"/>
<property name="CmdMsgDialog_OPEN_MESSAGES_VIEW" value="1" type="GuiHandlerData"/>
<property name="CoreTreeTablePanel_CORE_TREE_TABLE" value="21" type="GuiHandlerData"/>
<property name="CreateConstraintsFilePanel_FILE_NAME" value="1" type="GuiHandlerData"/>
......@@ -72,49 +73,49 @@ This means code written to parse this file will need to be revisited each subseq
<property name="CreateSrcFileDialog_FILE_NAME" value="1" type="GuiHandlerData"/>
<property name="CustomizeCoreDialog_DOCUMENTATION" value="3" type="GuiHandlerData"/>
<property name="DefineModulesDialog_ENTITY_NAME" value="1" type="GuiHandlerData"/>
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="141" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="85" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_IN" value="3" type="GuiHandlerData"/>
<property name="FileSetPanel_FILE_SET_PANEL_TREE" value="168" type="GuiHandlerData"/>
<property name="FlowNavigatorTreePanel_FLOW_NAVIGATOR_TREE" value="113" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_IN" value="11" type="GuiHandlerData"/>
<property name="GraphicalView_ZOOM_OUT" value="2" type="GuiHandlerData"/>
<property name="HACGCCoeFileWidget_BROWSE" value="5" type="GuiHandlerData"/>
<property name="HACGCCoeFileWidget_BROWSE" value="8" type="GuiHandlerData"/>
<property name="HPopupTitle_CLOSE" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_FILE" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_FLOW" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_OPEN_RECENT_PROJECT" value="1" type="GuiHandlerData"/>
<property name="MainMenuMgr_PROJECT" value="2" type="GuiHandlerData"/>
<property name="MainMenuMgr_TOOLS" value="10" type="GuiHandlerData"/>
<property name="MainMenuMgr_WINDOW" value="18" type="GuiHandlerData"/>
<property name="MainMenuMgr_WINDOW" value="20" type="GuiHandlerData"/>
<property name="MessageWithOptionDialog_DONT_SHOW_THIS_DIALOG_AGAIN" value="2" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="30" type="GuiHandlerData"/>
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="13" type="GuiHandlerData"/>
<property name="MsgView_CRITICAL_WARNINGS" value="1" type="GuiHandlerData"/>
<property name="MsgTreePanel_MESSAGE_VIEW_TREE" value="31" type="GuiHandlerData"/>
<property name="MsgView_CLEAR_MESSAGES_RESULTING_FROM_USER_EXECUTED" value="18" type="GuiHandlerData"/>
<property name="MsgView_CRITICAL_WARNINGS" value="3" type="GuiHandlerData"/>
<property name="MsgView_INFORMATION_MESSAGES" value="5" type="GuiHandlerData"/>
<property name="MsgView_WARNING_MESSAGES" value="6" type="GuiHandlerData"/>
<property name="NewIpWizard_CREATE_NEW_AXI4_IP_CREATE_AXI4" value="4" type="GuiHandlerData"/>
<property name="NewIpWizard_INTERFACE_MODE" value="2" type="GuiHandlerData"/>
<property name="NewIpWizard_NAME_MYIP" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_ADD_SOURCES" value="7" type="GuiHandlerData"/>
<property name="PACommandNames_AUTO_CONNECT_TARGET" value="5" type="GuiHandlerData"/>
<property name="PACommandNames_AUTO_CONNECT_TARGET" value="6" type="GuiHandlerData"/>
<property name="PACommandNames_AUTO_UPDATE_HIER" value="3" type="GuiHandlerData"/>
<property name="PACommandNames_CREATE_TOP_HDL" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_IP_PACKAGER_WIZARD" value="4" type="GuiHandlerData"/>
<property name="PACommandNames_OPEN_PROJECT" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_PORTS_WINDOW" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_PROJECT_SUMMARY" value="8" type="GuiHandlerData"/>
<property name="PACommandNames_PROJECT_SUMMARY" value="9" type="GuiHandlerData"/>
<property name="PACommandNames_SAVE_RSB_DESIGN" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SHOW_PRODUCT_GUIDE" value="1" type="GuiHandlerData"/>
<property name="PACommandNames_SHOW_PRODUCT_WEBPAGE" value="2" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_LIVE_RESTART" value="8" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_LIVE_RUN" value="83" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="12" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_LIVE_RESTART" value="18" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_LIVE_RUN" value="94" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_RUN_BEHAVIORAL" value="15" type="GuiHandlerData"/>
<property name="PACommandNames_SIMULATION_SETTINGS" value="1" type="GuiHandlerData"/>
<property name="PAViews_ADDRESS_EDITOR" value="2" type="GuiHandlerData"/>
<property name="PAViews_CODE" value="12" type="GuiHandlerData"/>
<property name="PAViews_PROJECT_SUMMARY" value="20" type="GuiHandlerData"/>
<property name="PAViews_PROJECT_SUMMARY" value="28" type="GuiHandlerData"/>
<property name="PAViews_SCHEMATIC" value="1" type="GuiHandlerData"/>
<property name="PAViews_SYSTEM" value="1" type="GuiHandlerData"/>
<property name="PlanAheadTab_REFRESH_CHANGED_MODULES" value="14" type="GuiHandlerData"/>
<property name="ProgramFpgaDialog_PROGRAM" value="9" type="GuiHandlerData"/>
<property name="PlanAheadTab_REFRESH_CHANGED_MODULES" value="22" type="GuiHandlerData"/>
<property name="ProgramFpgaDialog_PROGRAM" value="15" type="GuiHandlerData"/>
<property name="ProgressDialog_BACKGROUND" value="1" type="GuiHandlerData"/>
<property name="PropertiesView_NEXT_OBJECT" value="5" type="GuiHandlerData"/>
<property name="PropertiesView_PREVIOUS_OBJECT" value="17" type="GuiHandlerData"/>
......@@ -123,7 +124,7 @@ This means code written to parse this file will need to be revisited each subseq
<property name="RDICommands_PROPERTIES" value="2" type="GuiHandlerData"/>
<property name="RDICommands_SAVE_FILE" value="11" type="GuiHandlerData"/>
<property name="RDICommands_SETTINGS" value="1" type="GuiHandlerData"/>
<property name="RDIViews_WAVEFORM_VIEWER" value="134" type="GuiHandlerData"/>
<property name="RDIViews_WAVEFORM_VIEWER" value="241" type="GuiHandlerData"/>
<property name="RSBAddModuleDialog_HIDE_INCOMPATIBLE_MODULES" value="2" type="GuiHandlerData"/>
<property name="RSBAddModuleDialog_MODULE_LIST" value="5" type="GuiHandlerData"/>
<property name="RSBAddModuleDialog_MODULE_TYPE" value="2" type="GuiHandlerData"/>
......@@ -134,36 +135,31 @@ This means code written to parse this file will need to be revisited each subseq
<property name="SelectMenu_HIGHLIGHT" value="5" type="GuiHandlerData"/>
<property name="SelectTopModuleDialog_SELECT_TOP_MODULE" value="1" type="GuiHandlerData"/>
<property name="SignalTreePanel_SIGNAL_TREE_TABLE" value="37" type="GuiHandlerData"/>
<property name="SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY" value="9" type="GuiHandlerData"/>
<property name="SimpleOutputProductDialog_GENERATE_OUTPUT_PRODUCTS_IMMEDIATELY" value="12" type="GuiHandlerData"/>
<property name="SimpleOutputProductDialog_SYNTHESIZE_DESIGN_GLOBALLY" value="3" type="GuiHandlerData"/>
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="93" type="GuiHandlerData"/>
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="48" type="GuiHandlerData"/>
<property name="SimulationObjectsPanel_SIMULATION_OBJECTS_TREE_TABLE" value="145" type="GuiHandlerData"/>
<property name="SimulationScopesPanel_SIMULATE_SCOPE_TABLE" value="83" type="GuiHandlerData"/>
<property name="SrcChooserPanel_ADD_HDL_AND_NETLIST_FILES_TO_YOUR_PROJECT" value="8" type="GuiHandlerData"/>
<property name="SrcChooserPanel_CREATE_FILE" value="1" type="GuiHandlerData"/>
<property name="SrcMenu_IP_HIERARCHY" value="2" type="GuiHandlerData"/>
<property name="SrcMenu_REFRESH_HIERARCHY" value="1" type="GuiHandlerData"/>
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="7" type="GuiHandlerData"/>
<property name="SyntheticaGettingStartedView_RECENT_PROJECTS" value="8" type="GuiHandlerData"/>
<property name="SystemBuilderMenu_ADD_IP" value="1" type="GuiHandlerData"/>
<property name="SystemBuilderMenu_ADD_MODULE" value="16" type="GuiHandlerData"/>
<property name="SystemBuilderMenu_CREATE_PORT" value="6" type="GuiHandlerData"/>
<property name="SystemBuilderView_PINNING" value="8" type="GuiHandlerData"/>
<property name="SystemTreeView_SYSTEM_TREE" value="6" type="GuiHandlerData"/>
<property name="TaskBanner_CLOSE" value="12" type="GuiHandlerData"/>
<property name="TaskBanner_CLOSE" value="15" type="GuiHandlerData"/>
<property name="TclConsoleView_CLEAR_ALL_OUTPUT_IN_TCL_CONSOLE" value="1" type="GuiHandlerData"/>
<property name="TclConsoleView_TCL_CONSOLE_CODE_EDITOR" value="1" type="GuiHandlerData"/>
<property name="TclObjectTreeTable_TREETABLE" value="10" type="GuiHandlerData"/>
<property name="TouchpointSurveyDialog_NO" value="1" type="GuiHandlerData"/>
<property name="TouchpointSurveyDialog_REMIND_ME_LATER" value="2" type="GuiHandlerData"/>
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="14" type="GuiHandlerData"/>
<property name="WaveformNameTree_WAVEFORM_NAME_TREE" value="24" type="GuiHandlerData"/>
<property name="WaveformView_GOTO_LAST_TIME" value="4" type="GuiHandlerData"/>
<property name="WaveformView_GOTO_TIME_0" value="7" type="GuiHandlerData"/>
<property name="WaveformView_NEXT_TRANSITION" value="331" type="GuiHandlerData"/>
<property name="WaveformView_PREVIOUS_TRANSITION" value="40" type="GuiHandlerData"/>
</item>
<item name="Other">
<property name="GuiMode" value="15" type="GuiMode"/>
<property name="BatchMode" value="0" type="BatchMode"/>
<property name="TclMode" value="10" type="TclMode"/>
<property name="WaveformView_GOTO_TIME_0" value="16" type="GuiHandlerData"/>
<property name="WaveformView_NEXT_TRANSITION" value="865" type="GuiHandlerData"/>
<property name="WaveformView_PREVIOUS_TRANSITION" value="50" type="GuiHandlerData"/>
</item>
</section>
</application>
......
......@@ -684,7 +684,7 @@
<xilinx:designToolContext>IPI</xilinx:designToolContext>
</xilinx:designToolContexts>
<xilinx:coreRevision>1</xilinx:coreRevision>
<xilinx:coreCreationDateTime>2021-09-13T03:49:10Z</xilinx:coreCreationDateTime>
<xilinx:coreCreationDateTime>2021-09-13T13:23:33Z</xilinx:coreCreationDateTime>
</xilinx:coreExtensions>
<xilinx:packagingInfo>
<xilinx:xilinxVersion>2021.1</xilinx:xilinxVersion>
......
......@@ -234,7 +234,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>viewChecksum</spirit:name>
<spirit:value>42c668fc</spirit:value>
<spirit:value>c3e09eb8</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -247,7 +247,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>viewChecksum</spirit:name>
<spirit:value>42c668fc</spirit:value>
<spirit:value>c3e09eb8</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -637,7 +637,7 @@
<xilinx:designToolContext>IPI</xilinx:designToolContext>
</xilinx:designToolContexts>
<xilinx:coreRevision>1</xilinx:coreRevision>
<xilinx:coreCreationDateTime>2021-09-13T03:55:28Z</xilinx:coreCreationDateTime>
<xilinx:coreCreationDateTime>2021-09-13T13:23:31Z</xilinx:coreCreationDateTime>
</xilinx:coreExtensions>
<xilinx:packagingInfo>
<xilinx:xilinxVersion>2021.1</xilinx:xilinxVersion>
......
//Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
//Date : Mon Sep 13 12:39:03 2021
//Date : Mon Sep 13 21:25:05 2021
//Host : DESKTOP-I91JIJO running 64-bit major release (build 9200)
//Command : generate_target risc_axi_v5_top_wrapper.bd
//Design : risc_axi_v5_top_wrapper
......
......@@ -600,7 +600,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 04:39:04 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:25:05 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
......@@ -632,7 +632,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 04:39:04 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:25:05 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
......
......@@ -595,7 +595,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 04:39:04 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:25:05 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
......@@ -627,7 +627,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 04:39:04 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 13:25:05 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
......
......@@ -2,10 +2,10 @@
<Root MajorVersion="0" MinorVersion="39">
<CompositeFile CompositeFileTopName="risc_axi_v5_top" CanBeSetAsTop="false" CanDisplayChildGraph="true">
<Description>Composite Fileset</Description>
<Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1631507944"/>
<Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1631507944"/>
<Generation Name="SIMULATION" State="GENERATED" Timestamp="1631507944"/>
<Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1631507944"/>
<Generation Name="SYNTHESIS" State="GENERATED" Timestamp="1631539505"/>
<Generation Name="IMPLEMENTATION" State="GENERATED" Timestamp="1631539505"/>
<Generation Name="SIMULATION" State="GENERATED" Timestamp="1631539505"/>
<Generation Name="HW_HANDOFF" State="GENERATED" Timestamp="1631539505"/>
<FileCollection Name="SOURCES" Type="SOURCES">
<File Name="synth\risc_axi_v5_top.v" Type="Verilog">
<Properties IsEditable="false" IsVisible="true" IsNetlistSimulation="false" Timestamp="0" IsTrackable="false" IsStatusTracked="false"/>
......
//Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
//Date : Mon Sep 13 12:39:03 2021
//Date : Mon Sep 13 21:25:05 2021
//Host : DESKTOP-I91JIJO running 64-bit major release (build 9200)
//Command : generate_target risc_axi_v5_top.bd
//Design : risc_axi_v5_top
......@@ -323,22 +323,22 @@ module risc_axi_v5_top
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY;
wire [1:0]riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY;
wire [1:0]riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY;
wire [3:0]riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M01_AXI_ARADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M01_AXI_ARPROT;
wire riscv_core_with_axi_0_axi_periph_M01_AXI_ARREADY;
......@@ -604,23 +604,23 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
input M00_ARESETN;
output [31:0]M00_AXI_araddr;
output [2:0]M00_AXI_arprot;
input [0:0]M00_AXI_arready;
output [0:0]M00_AXI_arvalid;
input M00_AXI_arready;
output M00_AXI_arvalid;
output [31:0]M00_AXI_awaddr;
output [2:0]M00_AXI_awprot;
input [0:0]M00_AXI_awready;
output [0:0]M00_AXI_awvalid;
output [0:0]M00_AXI_bready;
input M00_AXI_awready;
output M00_AXI_awvalid;
output M00_AXI_bready;
input [1:0]M00_AXI_bresp;
input [0:0]M00_AXI_bvalid;
input M00_AXI_bvalid;
input [31:0]M00_AXI_rdata;
output [0:0]M00_AXI_rready;
output M00_AXI_rready;
input [1:0]M00_AXI_rresp;
input [0:0]M00_AXI_rvalid;
input M00_AXI_rvalid;
output [31:0]M00_AXI_wdata;
input [0:0]M00_AXI_wready;
input M00_AXI_wready;
output [3:0]M00_AXI_wstrb;
output [0:0]M00_AXI_wvalid;
output M00_AXI_wvalid;
input M01_ACLK;
input M01_ARESETN;
output [31:0]M01_AXI_araddr;
......@@ -666,21 +666,21 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
wire [1:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
wire [1:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY;
wire [3:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
wire [31:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
......@@ -783,15 +783,15 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
assign M00_AXI_araddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
assign M00_AXI_arprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
assign M00_AXI_arvalid[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
assign M00_AXI_arvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
assign M00_AXI_awaddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
assign M00_AXI_awprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
assign M00_AXI_awvalid[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
assign M00_AXI_bready[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
assign M00_AXI_rready[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
assign M00_AXI_awvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
assign M00_AXI_bready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
assign M00_AXI_rready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
assign M00_AXI_wdata[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA;
assign M00_AXI_wstrb[3:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB;
assign M00_AXI_wvalid[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
assign M00_AXI_wvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
assign M01_AXI_araddr[31:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
assign M01_AXI_arprot[2:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
assign M01_AXI_arvalid = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
......@@ -811,14 +811,14 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
assign S00_AXI_rresp[1:0] = riscv_core_with_axi_0_axi_periph_to_s00_couplers_RRESP;
assign S00_AXI_rvalid = riscv_core_with_axi_0_axi_periph_to_s00_couplers_RVALID;
assign S00_AXI_wready = riscv_core_with_axi_0_axi_periph_to_s00_couplers_WREADY;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY = M00_AXI_arready[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY = M00_AXI_awready[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY = M00_AXI_arready;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY = M00_AXI_awready;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP = M00_AXI_bresp[1:0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID = M00_AXI_bvalid[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID = M00_AXI_bvalid;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA = M00_AXI_rdata[31:0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP = M00_AXI_rresp[1:0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID = M00_AXI_rvalid[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY = M00_AXI_wready[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID = M00_AXI_rvalid;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY = M00_AXI_wready;
assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY = M01_AXI_arready;
assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY = M01_AXI_awready;
assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP = M01_AXI_bresp[1:0];
......
//Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
//Date : Mon Sep 13 12:39:03 2021
//Date : Mon Sep 13 21:25:05 2021
//Host : DESKTOP-I91JIJO running 64-bit major release (build 9200)
//Command : generate_target risc_axi_v5_top.bd
//Design : risc_axi_v5_top
......@@ -323,22 +323,22 @@ module risc_axi_v5_top
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY;
wire [1:0]riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY;
wire [1:0]riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY;
wire [3:0]riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB;
wire [0:0]riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID;
wire riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID;
wire [31:0]riscv_core_with_axi_0_axi_periph_M01_AXI_ARADDR;
wire [2:0]riscv_core_with_axi_0_axi_periph_M01_AXI_ARPROT;
wire riscv_core_with_axi_0_axi_periph_M01_AXI_ARREADY;
......@@ -604,23 +604,23 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
input M00_ARESETN;
output [31:0]M00_AXI_araddr;
output [2:0]M00_AXI_arprot;
input [0:0]M00_AXI_arready;
output [0:0]M00_AXI_arvalid;
input M00_AXI_arready;
output M00_AXI_arvalid;
output [31:0]M00_AXI_awaddr;
output [2:0]M00_AXI_awprot;
input [0:0]M00_AXI_awready;
output [0:0]M00_AXI_awvalid;
output [0:0]M00_AXI_bready;
input M00_AXI_awready;
output M00_AXI_awvalid;
output M00_AXI_bready;
input [1:0]M00_AXI_bresp;
input [0:0]M00_AXI_bvalid;
input M00_AXI_bvalid;
input [31:0]M00_AXI_rdata;
output [0:0]M00_AXI_rready;
output M00_AXI_rready;
input [1:0]M00_AXI_rresp;
input [0:0]M00_AXI_rvalid;
input M00_AXI_rvalid;
output [31:0]M00_AXI_wdata;
input [0:0]M00_AXI_wready;
input M00_AXI_wready;
output [3:0]M00_AXI_wstrb;
output [0:0]M00_AXI_wvalid;
output M00_AXI_wvalid;
input M01_ACLK;
input M01_ARESETN;
output [31:0]M01_AXI_araddr;
......@@ -666,21 +666,21 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
wire [1:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
wire [1:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID;
wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA;
wire [0:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY;
wire [3:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB;
wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
wire [31:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
......@@ -783,15 +783,15 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
assign M00_AXI_araddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
assign M00_AXI_arprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
assign M00_AXI_arvalid[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
assign M00_AXI_arvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
assign M00_AXI_awaddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
assign M00_AXI_awprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
assign M00_AXI_awvalid[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
assign M00_AXI_bready[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
assign M00_AXI_rready[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
assign M00_AXI_awvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
assign M00_AXI_bready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
assign M00_AXI_rready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
assign M00_AXI_wdata[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA;
assign M00_AXI_wstrb[3:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB;
assign M00_AXI_wvalid[0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
assign M00_AXI_wvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
assign M01_AXI_araddr[31:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
assign M01_AXI_arprot[2:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
assign M01_AXI_arvalid = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
......@@ -811,14 +811,14 @@ module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
assign S00_AXI_rresp[1:0] = riscv_core_with_axi_0_axi_periph_to_s00_couplers_RRESP;
assign S00_AXI_rvalid = riscv_core_with_axi_0_axi_periph_to_s00_couplers_RVALID;
assign S00_AXI_wready = riscv_core_with_axi_0_axi_periph_to_s00_couplers_WREADY;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY = M00_AXI_arready[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY = M00_AXI_awready[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY = M00_AXI_arready;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY = M00_AXI_awready;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP = M00_AXI_bresp[1:0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID = M00_AXI_bvalid[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID = M00_AXI_bvalid;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA = M00_AXI_rdata[31:0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP = M00_AXI_rresp[1:0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID = M00_AXI_rvalid[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY = M00_AXI_wready[0];
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID = M00_AXI_rvalid;
assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY = M00_AXI_wready;
assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY = M01_AXI_arready;
assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY = M01_AXI_awready;
assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP = M01_AXI_bresp[1:0];
......
......@@ -513,7 +513,7 @@
00000011010100010010110000100011
00000101000000010000010000010011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
11111111000001111111011110010011
11111100111101000010101000100011
11111100000001000010110000100011
......@@ -532,7 +532,7 @@
00000000000001111000010100010011
10001111100111111111000011101111
00000000000000000001011110110111
11100100000001111000010100010011
11101010000001111000010100010011
10001110110111111111000011101111
11111100000001000010111000100011
00001010010000000000000001101111
......@@ -542,10 +542,10 @@
11111101010001000010011110000011
00000000111101110000011100110011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
00000000111101110111101001100011
00000000000000000001011110110111
11100100010001111000010100010011
11101010010001111000010100010011
10001011100111111111000011101111
00000101000000000000000001101111
11111101110001000010011110000011
......@@ -565,13 +565,13 @@
00000000000001111000010100010011
10000111010111111111000011101111
00000000000000000001011110110111
11100100100001111000010100010011
11101010100001111000010100010011
10000110100111111111000011101111
11111101110001000010011100000011
00000000011100000000011110010011
00000000111101110001100001100011
00000000000000000001011110110111
11100100110001111000010100010011
11101010110001111000010100010011
10000101000111111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -580,7 +580,7 @@
00000000111100000000011110010011
11110100111001111101110011100011
00000000000000000001011110110111
11100101000001111000010100010011
11101011000001111000010100010011
10000010110111111111000011101111
11111100000001000010111000100011
00000111100000000000000001101111
......@@ -617,7 +617,7 @@
00000000111100000000011110010011
11111000111001111101001011100011
00000000000000000001011110110111
11100101010001111000010100010011
11101011010001111000010100010011
11111001100011111111000011101111
11111101010001000010011110000011
00000001000001111000011110010011
......@@ -634,11 +634,11 @@
00000000100000000000000001101111
00000000000000000000000000010011
00000000000000000001011110110111
11100101100001111000010100010011
11101011100001111000010100010011
11110101010011111111000011101111
00000000000000000001011110110111
11111101010001000010011100000011
11101000111001111010010000100011
11101110111001111010010000100011
00000000000000000000000000010011
00000100110000010010000010000011
00000100100000010010010000000011
......@@ -678,7 +678,7 @@
00000000000001010000011110010011
00000000000001111000100001100011
00000000000000000001011110110111
11100101110001111000010100010011
11101011110001111000010100010011
11101010010011111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -687,27 +687,39 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11011001000011111111000011101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
00000010000001111001000001100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11111010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101100011111111000011101111
11010110000011111111000011101111
00000000000001010000011110010011
00000100000001111000011001100011
11111000010001000000011110010011
00000010011100000000010110010011
00000000000001111000010100010011
11101101010011111111000011101111
11101010010011111111000011101111
00000000000000000000000000010011
00000000000000000001011110110111
11100110000001111000010100010011
11100101110011111111000011101111
11101100000001111000010100010011
11100010110011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101000011111111000011101111
11100010000011111111000011101111
00000000000000000001011110110111
11100101100001111000010100010011
11100100010011111111000011101111
11101011100001111000010100010011
11100001010011111111000011101111
11111000010001000100011100000011
00000110001000000000011110010011
00000110111101110001111001100011
00000010010000000000000001101111
00001010111101110001011001100011
00000101010000000000000001101111
11111101110001000010011110000011
00000000000101111000011110010011
11111100111101000010111000100011
......@@ -715,12 +727,24 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111001000111111111000001101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
11111000000001111001000011100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11110000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11011011100011111111000011101111
11110110000111111111000001101111
11111000010001000000011110010011
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10100110110111111111000011101111
10100000110111111111000011101111
11111010101001000010110000100011
11111011100001000010011110000011
00000010111100000101100001100011
......@@ -735,7 +759,7 @@
00000000110001111000011110010011
00000000111001111010000000100011
00101010110000000000000001101111
11101010010111111111000011101111
11100100010111111111000011101111
00101010010000000000000001101111
11111000010001000100011100000011
00000110010000000000011110010011
......@@ -744,14 +768,14 @@
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10110000100111111111000011101111
10101010100111111111000011101111
11111010101001000010111000100011
11111011110001000010011110000011
00000000111100000101100001100011
11111011110001000010011100000011
00000000000000000001011110110111
11101000111001111010010000100011
11000010010111111111000011101111
11101110111001111010010000100011
10111100010111111111000011101111
00100110010000000000000001101111
11111000010001000100011100000011
00000111011100000000011110010011
......@@ -761,19 +785,19 @@
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101100010111111111000011101111
10100110010111111111000011101111
11111100101001000010010000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101010110111111111000011101111
10100100110111111111000011101111
11111100101001000010001000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101001010111111111000011101111
10100011010111111111000011101111
11111100101001000010000000100011
11111100000001000010011100000011
00000000000100000000011110010011
......@@ -799,7 +823,7 @@
11111100010001000010011100000011
00000000111001111010000000100011
00011010110000000000000001101111
11011010010111111111000011101111
11010100010111111111000011101111
00011010010000000000000001101111
11111000010001000100011100000011
00000111001000000000011110010011
......@@ -810,13 +834,13 @@
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100000000111111111000011101111
10011010000111111111000011101111
11111100101001000010100000100011
11111010110001000010011110000011
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011110100111111111000011101111
10011000100111111111000011101111
11111100101001000010011000100011
11111100110001000010011100000011
00000000000100000000011110010011
......@@ -825,8 +849,8 @@
00000000000001111100011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110010001111000010100010011
11000101100011111111000011101111
11101100010001111000010100010011
10111111100011111111000011101111
00000101100000000000000001101111
11111100110001000010011100000011
00000000001000000000011110010011
......@@ -835,8 +859,8 @@
00000000000001111001011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110110001111000010100010011
11000011000011111111000011101111
11101100110001111000010100010011
10111101000011111111000011101111
00000011000000000000000001101111
11111100110001000010011100000011
00000000010000000000011110010011
......@@ -845,10 +869,10 @@
00000000000001111010011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100111010001111000010100010011
11000000100011111111000011101111
11101101010001111000010100010011
10111010100011111111000011101111
00000000100000000000000001101111
11001110000111111111000011101111
11001000000111111111000011101111
11111100110001000010011100000011
00000000000100000000011110010011
00000000111101110000111001100011
......@@ -868,23 +892,23 @@
00000000000010010000010110010011
00000000000010011000011000010011
00000000000001111000010100010011
11100111010011111111000011101111
11100001010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10111010010011111111000011101111
10110100010011111111000011101111
00000000000000000001011110110111
11100111110001111000010100010011
10111001100011111111000011101111
11101101110001111000010100010011
10110011100011111111000011101111
11111000010001000000011110010011
11111101100001000010010110000011
00000000000001111000010100010011
11001100100011111111000011101111
11000110100011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110111110011111111000011101111
10110001110011111111000011101111
00000000000000000001011110110111
11101000000001111000010100010011
10110111000011111111000011101111
11101110000001111000010100010011
10110001000011111111000011101111
11111101100001000010011110000011
00000000000001111000101000010011
01000001111101111101011110010011
......@@ -896,20 +920,20 @@
00000000000010100000010110010011
00000000000010101000011000010011
00000000000001111000010100010011
11100000010011111111000011101111
11011010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110011010011111111000011101111
10101101010011111111000011101111
00000000000000000001011110110111
11101000010001111000010100010011
10110010100011111111000011101111
11101110010001111000010100010011
10101100100011111111000011101111
00000000100000000000000001101111
11000000000111111111000011101111
10111010000111111111000011101111
00000000000000000000000000010011
10011101110011111111000011101111
10010111110011111111000011101111
00000000000001010000011110010011
11111110000001111000110011100011
11000101010111111111000001101111
10111111010111111111000001101111
00000000000000000010000000100000
00000000001000000010000000100000
00000000000000000000000000100000
......@@ -998,27 +1022,3 @@
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
......@@ -1466,11 +1466,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 03:54:34 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 12:38:12 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:ac5a5962</spirit:value>
<spirit:value>9:9d6c7792</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1486,11 +1486,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 03:54:35 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:ac5a5962</spirit:value>
<spirit:value>9:9d6c7792</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1501,7 +1501,7 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:ac5a5962</spirit:value>
<spirit:value>9:9d6c7792</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1517,11 +1517,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 03:54:35 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:ac5a5962</spirit:value>
<spirit:value>9:9d6c7792</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1536,11 +1536,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 03:54:35 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:0a4d33a0</spirit:value>
<spirit:value>9:feaf7ed6</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1556,11 +1556,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 03:54:35 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:0a4d33a0</spirit:value>
<spirit:value>9:feaf7ed6</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1574,11 +1574,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 03:54:35 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:ac5a5962</spirit:value>
<spirit:value>9:9d6c7792</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -1592,11 +1592,11 @@
<spirit:parameters>
<spirit:parameter>
<spirit:name>GENtimestamp</spirit:name>
<spirit:value>Mon Sep 13 03:54:35 UTC 2021</spirit:value>
<spirit:value>Mon Sep 13 12:38:13 UTC 2021</spirit:value>
</spirit:parameter>
<spirit:parameter>
<spirit:name>outputProductCRC</spirit:name>
<spirit:value>9:ac5a5962</spirit:value>
<spirit:value>9:9d6c7792</spirit:value>
</spirit:parameter>
</spirit:parameters>
</spirit:view>
......@@ -3172,7 +3172,7 @@
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="INTEGER">
<spirit:name>C_USE_DEFAULT_DATA</spirit:name>
<spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DEFAULT_DATA">1</spirit:value>
<spirit:value spirit:format="long" spirit:resolve="generated" spirit:id="MODELPARAM_VALUE.C_USE_DEFAULT_DATA">0</spirit:value>
</spirit:modelParameter>
<spirit:modelParameter spirit:dataType="STRING">
<spirit:name>C_DEFAULT_DATA</spirit:name>
......@@ -4104,7 +4104,7 @@
</spirit:parameter>
<spirit:parameter>
<spirit:name>Fill_Remaining_Memory_Locations</spirit:name>
<spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.Fill_Remaining_Memory_Locations" spirit:order="40">true</spirit:value>
<spirit:value spirit:format="bool" spirit:resolve="user" spirit:id="PARAM_VALUE.Fill_Remaining_Memory_Locations" spirit:order="40">false</spirit:value>
<spirit:vendorExtensions>
<xilinx:parameterInfo>
<xilinx:enablement>
......@@ -4119,7 +4119,7 @@
<spirit:vendorExtensions>
<xilinx:parameterInfo>
<xilinx:enablement>
<xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.Remaining_Memory_Locations">true</xilinx:isEnabled>
<xilinx:isEnabled xilinx:resolve="dependent" xilinx:id="PARAM_ENABLEMENT.Remaining_Memory_Locations">false</xilinx:isEnabled>
</xilinx:enablement>
</xilinx:parameterInfo>
</spirit:vendorExtensions>
......
......@@ -95,7 +95,7 @@ output wire [31 : 0] douta;
.C_LOAD_INIT_FILE(1),
.C_INIT_FILE_NAME("ram4KB.mif"),
.C_INIT_FILE("ram4KB.mem"),
.C_USE_DEFAULT_DATA(1),
.C_USE_DEFAULT_DATA(0),
.C_DEFAULT_DATA("0"),
.C_HAS_RSTA(0),
.C_RST_PRIORITY_A("CE"),
......
......@@ -220,7 +220,7 @@ ARCHITECTURE ram4KB_arch OF ram4KB IS
ATTRIBUTE CHECK_LICENSE_TYPE OF ram4KB_arch : ARCHITECTURE IS "ram4KB,blk_mem_gen_v8_4_4,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF ram4KB_arch: ARCHITECTURE IS "ram4KB,blk_mem_gen_v8_4_4,{x_ipProduct=Vivado 2021.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=blk_mem_gen,x_ipVersion=8.4,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_XDEVICEFAMILY=zynq,C_ELABORATION_DIR=./,C_INTERFACE_TYPE=0,C_AXI_TYPE=1,C_AXI_SLAVE_TYPE=0,C_USE_BRAM_BLOCK=0,C_ENABLE_32BIT_ADDRESS=0,C_CTRL_ECC_ALGO=NONE,C_HAS_AXI_ID=0,C_AXI_ID_WIDTH=4,C_MEM_TYPE=0,C_BYTE_SIZE=8,C_ALGORITHM=1,C_PRIM_TYPE=1,C_LOAD_INIT_FILE=1,C_INIT_FILE_NAME=ram4KB.mif,C_INI" &
"T_FILE=ram4KB.mem,C_USE_DEFAULT_DATA=1,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=1024,C_READ_DEPTH_A=1024,C_ADDRA_WIDTH=10,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRITE_DEPTH_B=1024,C" &
"T_FILE=ram4KB.mem,C_USE_DEFAULT_DATA=0,C_DEFAULT_DATA=0,C_HAS_RSTA=0,C_RST_PRIORITY_A=CE,C_RSTRAM_A=0,C_INITA_VAL=0,C_HAS_ENA=1,C_HAS_REGCEA=0,C_USE_BYTE_WEA=1,C_WEA_WIDTH=4,C_WRITE_MODE_A=WRITE_FIRST,C_WRITE_WIDTH_A=32,C_READ_WIDTH_A=32,C_WRITE_DEPTH_A=1024,C_READ_DEPTH_A=1024,C_ADDRA_WIDTH=10,C_HAS_RSTB=0,C_RST_PRIORITY_B=CE,C_RSTRAM_B=0,C_INITB_VAL=0,C_HAS_ENB=0,C_HAS_REGCEB=0,C_USE_BYTE_WEB=1,C_WEB_WIDTH=4,C_WRITE_MODE_B=WRITE_FIRST,C_WRITE_WIDTH_B=32,C_READ_WIDTH_B=32,C_WRITE_DEPTH_B=1024,C" &
"_READ_DEPTH_B=1024,C_ADDRB_WIDTH=10,C_HAS_MEM_OUTPUT_REGS_A=0,C_HAS_MEM_OUTPUT_REGS_B=0,C_HAS_MUX_OUTPUT_REGS_A=0,C_HAS_MUX_OUTPUT_REGS_B=0,C_MUX_PIPELINE_STAGES=0,C_HAS_SOFTECC_INPUT_REGS_A=0,C_HAS_SOFTECC_OUTPUT_REGS_B=0,C_USE_SOFTECC=0,C_USE_ECC=0,C_EN_ECC_PIPE=0,C_READ_LATENCY_A=1,C_READ_LATENCY_B=1,C_HAS_INJECTERR=0,C_SIM_COLLISION_CHECK=ALL,C_COMMON_CLK=0,C_DISABLE_WARN_BHV_COLL=0,C_EN_SLEEP_PIN=0,C_USE_URAM=0,C_EN_RDADDRA_CHG=0,C_EN_RDADDRB_CHG=0,C_EN_DEEPSLEEP_PIN=0,C_EN_SHUTDOWN_PIN=0,C" &
"_EN_SAFETY_CKT=0,C_DISABLE_WARN_BHV_RANGE=0,C_COUNT_36K_BRAM=1,C_COUNT_18K_BRAM=0,C_EST_POWER_SUMMARY=Estimated Power for IP _ 2.96495 mW}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
......@@ -253,7 +253,7 @@ BEGIN
C_LOAD_INIT_FILE => 1,
C_INIT_FILE_NAME => "ram4KB.mif",
C_INIT_FILE => "ram4KB.mem",
C_USE_DEFAULT_DATA => 1,
C_USE_DEFAULT_DATA => 0,
C_DEFAULT_DATA => "0",
C_HAS_RSTA => 0,
C_RST_PRIORITY_A => "CE",
......
......@@ -88,23 +88,23 @@
"ARADDR": { "actual": "M00_AXI_araddr[31:0]"},
"ARESETN": { "actual": "ARESETN"},
"ARPROT": { "actual": "M00_AXI_arprot[2:0]"},
"ARREADY": { "actual": "M00_AXI_arready[0:0]"},
"ARVALID": { "actual": "M00_AXI_arvalid[0:0]"},
"ARREADY": { "actual": "M00_AXI_arready"},
"ARVALID": { "actual": "M00_AXI_arvalid"},
"AWADDR": { "actual": "M00_AXI_awaddr[31:0]"},
"AWPROT": { "actual": "M00_AXI_awprot[2:0]"},
"AWREADY": { "actual": "M00_AXI_awready[0:0]"},
"AWVALID": { "actual": "M00_AXI_awvalid[0:0]"},
"BREADY": { "actual": "M00_AXI_bready[0:0]"},
"AWREADY": { "actual": "M00_AXI_awready"},
"AWVALID": { "actual": "M00_AXI_awvalid"},
"BREADY": { "actual": "M00_AXI_bready"},
"BRESP": { "actual": "M00_AXI_bresp[1:0]"},
"BVALID": { "actual": "M00_AXI_bvalid[0:0]"},
"BVALID": { "actual": "M00_AXI_bvalid"},
"RDATA": { "actual": "M00_AXI_rdata[31:0]"},
"RREADY": { "actual": "M00_AXI_rready[0:0]"},
"RREADY": { "actual": "M00_AXI_rready"},
"RRESP": { "actual": "M00_AXI_rresp[1:0]"},
"RVALID": { "actual": "M00_AXI_rvalid[0:0]"},
"RVALID": { "actual": "M00_AXI_rvalid"},
"WDATA": { "actual": "M00_AXI_wdata[31:0]"},
"WREADY": { "actual": "M00_AXI_wready[0:0]"},
"WREADY": { "actual": "M00_AXI_wready"},
"WSTRB": { "actual": "M00_AXI_wstrb[3:0]"},
"WVALID": { "actual": "M00_AXI_wvalid[0:0]"}
"WVALID": { "actual": "M00_AXI_wvalid"}
}
},
"/riscv_core_with_axi_0_axi_periph/M01_AXI": {
......
......@@ -513,7 +513,7 @@
00000011010100010010110000100011
00000101000000010000010000010011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
11111111000001111111011110010011
11111100111101000010101000100011
11111100000001000010110000100011
......@@ -532,7 +532,7 @@
00000000000001111000010100010011
10001111100111111111000011101111
00000000000000000001011110110111
11100100000001111000010100010011
11101010000001111000010100010011
10001110110111111111000011101111
11111100000001000010111000100011
00001010010000000000000001101111
......@@ -542,10 +542,10 @@
11111101010001000010011110000011
00000000111101110000011100110011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
00000000111101110111101001100011
00000000000000000001011110110111
11100100010001111000010100010011
11101010010001111000010100010011
10001011100111111111000011101111
00000101000000000000000001101111
11111101110001000010011110000011
......@@ -565,13 +565,13 @@
00000000000001111000010100010011
10000111010111111111000011101111
00000000000000000001011110110111
11100100100001111000010100010011
11101010100001111000010100010011
10000110100111111111000011101111
11111101110001000010011100000011
00000000011100000000011110010011
00000000111101110001100001100011
00000000000000000001011110110111
11100100110001111000010100010011
11101010110001111000010100010011
10000101000111111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -580,7 +580,7 @@
00000000111100000000011110010011
11110100111001111101110011100011
00000000000000000001011110110111
11100101000001111000010100010011
11101011000001111000010100010011
10000010110111111111000011101111
11111100000001000010111000100011
00000111100000000000000001101111
......@@ -617,7 +617,7 @@
00000000111100000000011110010011
11111000111001111101001011100011
00000000000000000001011110110111
11100101010001111000010100010011
11101011010001111000010100010011
11111001100011111111000011101111
11111101010001000010011110000011
00000001000001111000011110010011
......@@ -634,11 +634,11 @@
00000000100000000000000001101111
00000000000000000000000000010011
00000000000000000001011110110111
11100101100001111000010100010011
11101011100001111000010100010011
11110101010011111111000011101111
00000000000000000001011110110111
11111101010001000010011100000011
11101000111001111010010000100011
11101110111001111010010000100011
00000000000000000000000000010011
00000100110000010010000010000011
00000100100000010010010000000011
......@@ -678,7 +678,7 @@
00000000000001010000011110010011
00000000000001111000100001100011
00000000000000000001011110110111
11100101110001111000010100010011
11101011110001111000010100010011
11101010010011111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -687,27 +687,39 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11011001000011111111000011101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
00000010000001111001000001100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11111010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101100011111111000011101111
11010110000011111111000011101111
00000000000001010000011110010011
00000100000001111000011001100011
11111000010001000000011110010011
00000010011100000000010110010011
00000000000001111000010100010011
11101101010011111111000011101111
11101010010011111111000011101111
00000000000000000000000000010011
00000000000000000001011110110111
11100110000001111000010100010011
11100101110011111111000011101111
11101100000001111000010100010011
11100010110011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101000011111111000011101111
11100010000011111111000011101111
00000000000000000001011110110111
11100101100001111000010100010011
11100100010011111111000011101111
11101011100001111000010100010011
11100001010011111111000011101111
11111000010001000100011100000011
00000110001000000000011110010011
00000110111101110001111001100011
00000010010000000000000001101111
00001010111101110001011001100011
00000101010000000000000001101111
11111101110001000010011110000011
00000000000101111000011110010011
11111100111101000010111000100011
......@@ -715,12 +727,24 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111001000111111111000001101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
11111000000001111001000011100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11110000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11011011100011111111000011101111
11110110000111111111000001101111
11111000010001000000011110010011
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10100110110111111111000011101111
10100000110111111111000011101111
11111010101001000010110000100011
11111011100001000010011110000011
00000010111100000101100001100011
......@@ -735,7 +759,7 @@
00000000110001111000011110010011
00000000111001111010000000100011
00101010110000000000000001101111
11101010010111111111000011101111
11100100010111111111000011101111
00101010010000000000000001101111
11111000010001000100011100000011
00000110010000000000011110010011
......@@ -744,14 +768,14 @@
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10110000100111111111000011101111
10101010100111111111000011101111
11111010101001000010111000100011
11111011110001000010011110000011
00000000111100000101100001100011
11111011110001000010011100000011
00000000000000000001011110110111
11101000111001111010010000100011
11000010010111111111000011101111
11101110111001111010010000100011
10111100010111111111000011101111
00100110010000000000000001101111
11111000010001000100011100000011
00000111011100000000011110010011
......@@ -761,19 +785,19 @@
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101100010111111111000011101111
10100110010111111111000011101111
11111100101001000010010000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101010110111111111000011101111
10100100110111111111000011101111
11111100101001000010001000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101001010111111111000011101111
10100011010111111111000011101111
11111100101001000010000000100011
11111100000001000010011100000011
00000000000100000000011110010011
......@@ -799,7 +823,7 @@
11111100010001000010011100000011
00000000111001111010000000100011
00011010110000000000000001101111
11011010010111111111000011101111
11010100010111111111000011101111
00011010010000000000000001101111
11111000010001000100011100000011
00000111001000000000011110010011
......@@ -810,13 +834,13 @@
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100000000111111111000011101111
10011010000111111111000011101111
11111100101001000010100000100011
11111010110001000010011110000011
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011110100111111111000011101111
10011000100111111111000011101111
11111100101001000010011000100011
11111100110001000010011100000011
00000000000100000000011110010011
......@@ -825,8 +849,8 @@
00000000000001111100011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110010001111000010100010011
11000101100011111111000011101111
11101100010001111000010100010011
10111111100011111111000011101111
00000101100000000000000001101111
11111100110001000010011100000011
00000000001000000000011110010011
......@@ -835,8 +859,8 @@
00000000000001111001011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110110001111000010100010011
11000011000011111111000011101111
11101100110001111000010100010011
10111101000011111111000011101111
00000011000000000000000001101111
11111100110001000010011100000011
00000000010000000000011110010011
......@@ -845,10 +869,10 @@
00000000000001111010011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100111010001111000010100010011
11000000100011111111000011101111
11101101010001111000010100010011
10111010100011111111000011101111
00000000100000000000000001101111
11001110000111111111000011101111
11001000000111111111000011101111
11111100110001000010011100000011
00000000000100000000011110010011
00000000111101110000111001100011
......@@ -868,23 +892,23 @@
00000000000010010000010110010011
00000000000010011000011000010011
00000000000001111000010100010011
11100111010011111111000011101111
11100001010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10111010010011111111000011101111
10110100010011111111000011101111
00000000000000000001011110110111
11100111110001111000010100010011
10111001100011111111000011101111
11101101110001111000010100010011
10110011100011111111000011101111
11111000010001000000011110010011
11111101100001000010010110000011
00000000000001111000010100010011
11001100100011111111000011101111
11000110100011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110111110011111111000011101111
10110001110011111111000011101111
00000000000000000001011110110111
11101000000001111000010100010011
10110111000011111111000011101111
11101110000001111000010100010011
10110001000011111111000011101111
11111101100001000010011110000011
00000000000001111000101000010011
01000001111101111101011110010011
......@@ -896,20 +920,20 @@
00000000000010100000010110010011
00000000000010101000011000010011
00000000000001111000010100010011
11100000010011111111000011101111
11011010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110011010011111111000011101111
10101101010011111111000011101111
00000000000000000001011110110111
11101000010001111000010100010011
10110010100011111111000011101111
11101110010001111000010100010011
10101100100011111111000011101111
00000000100000000000000001101111
11000000000111111111000011101111
10111010000111111111000011101111
00000000000000000000000000010011
10011101110011111111000011101111
10010111110011111111000011101111
00000000000001010000011110010011
11111110000001111000110011100011
11000101010111111111000001101111
10111111010111111111000001101111
00000000000000000010000000100000
00000000001000000010000000100000
00000000000000000000000000100000
......@@ -998,27 +1022,3 @@
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
......@@ -515,7 +515,7 @@ FB010113,
3512C23,
5010413,
17B7,
E887A783,
EE87A783,
FF07F793,
FCF42A23,
FC042C23,
......@@ -534,7 +534,7 @@ FBC40793,
78513,
8F9FF0EF,
17B7,
E4078513,
EA078513,
8EDFF0EF,
FC042E23,
A40006F,
......@@ -544,10 +544,10 @@ FDC42703,
FD442783,
F70733,
17B7,
E887A783,
EE87A783,
F77A63,
17B7,
E4478513,
EA478513,
8B9FF0EF,
500006F,
FDC42783,
......@@ -567,13 +567,13 @@ FBC40793,
78513,
875FF0EF,
17B7,
E4878513,
EA878513,
869FF0EF,
FDC42703,
700793,
F71863,
17B7,
E4C78513,
EAC78513,
851FF0EF,
FDC42783,
178793,
......@@ -582,7 +582,7 @@ FDC42703,
F00793,
F4E7DCE3,
17B7,
E5078513,
EB078513,
82DFF0EF,
FC042E23,
780006F,
......@@ -619,7 +619,7 @@ FDC42703,
F00793,
F8E7D2E3,
17B7,
E5478513,
EB478513,
F98FF0EF,
FD442783,
1078793,
......@@ -636,11 +636,11 @@ E4E7D0E3,
80006F,
13,
17B7,
E5878513,
EB878513,
F54FF0EF,
17B7,
FD442703,
E8E7A423,
EEE7A423,
13,
4C12083,
4812403,
......@@ -680,7 +680,7 @@ D7CFF0EF,
50793,
78863,
17B7,
E5C78513,
EBC78513,
EA4FF0EF,
FDC42783,
178793,
......@@ -689,27 +689,39 @@ F00007B7,
478793,
FDC42703,
E7A023,
D90FF0EF,
FDC42703,
F47B7,
24078793,
2F767B3,
2079063,
F8440793,
FDC42583,
78513,
FA4FF0EF,
F8440793,
78513,
E58FF0EF,
D60FF0EF,
50793,
4078663,
F8440793,
2700593,
78513,
ED4FF0EF,
EA4FF0EF,
13,
17B7,
E6078513,
E5CFF0EF,
EC078513,
E2CFF0EF,
F8440793,
78513,
E50FF0EF,
E20FF0EF,
17B7,
E5878513,
E44FF0EF,
EB878513,
E14FF0EF,
F8444703,
6200793,
6F71E63,
240006F,
AF71663,
540006F,
FDC42783,
178793,
FCF42E23,
......@@ -717,12 +729,24 @@ F00007B7,
478793,
FDC42703,
E7A023,
F91FF06F,
FDC42703,
F47B7,
24078793,
2F767B3,
F80790E3,
F8440793,
FDC42583,
78513,
F04FF0EF,
F8440793,
78513,
DB8FF0EF,
F61FF06F,
F8440793,
278793,
593,
78513,
A6DFF0EF,
A0DFF0EF,
FAA42C23,
FB842783,
2F05863,
......@@ -737,7 +761,7 @@ FB442783,
C78793,
E7A023,
2AC0006F,
EA5FF0EF,
E45FF0EF,
2A40006F,
F8444703,
6400793,
......@@ -746,14 +770,14 @@ F8440793,
278793,
593,
78513,
B09FF0EF,
AA9FF0EF,
FAA42E23,
FBC42783,
F05863,
FBC42703,
17B7,
E8E7A423,
C25FF0EF,
EEE7A423,
BC5FF0EF,
2640006F,
F8444703,
7700793,
......@@ -763,19 +787,19 @@ F8440793,
FB040713,
70593,
78513,
AC5FF0EF,
A65FF0EF,
FCA42423,
FB042783,
FB040713,
70593,
78513,
AADFF0EF,
A4DFF0EF,
FCA42223,
FB042783,
FB040713,
70593,
78513,
A95FF0EF,
A35FF0EF,
FCA42023,
FC042703,
100793,
......@@ -801,7 +825,7 @@ FC842783,
FC442703,
E7A023,
1AC0006F,
DA5FF0EF,
D45FF0EF,
1A40006F,
F8444703,
7200793,
......@@ -812,13 +836,13 @@ F8440793,
FAC40713,
70593,
78513,
A01FF0EF,
9A1FF0EF,
FCA42823,
FAC42783,
FAC40713,
70593,
78513,
9E9FF0EF,
989FF0EF,
FCA42623,
FCC42703,
100793,
......@@ -827,8 +851,8 @@ FD042783,
7C783,
FCF42C23,
17B7,
E6478513,
C58FF0EF,
EC478513,
BF8FF0EF,
580006F,
FCC42703,
200793,
......@@ -837,8 +861,8 @@ FD042783,
79783,
FCF42C23,
17B7,
E6C78513,
C30FF0EF,
ECC78513,
BD0FF0EF,
300006F,
FCC42703,
400793,
......@@ -847,10 +871,10 @@ FD042783,
7A783,
FCF42C23,
17B7,
E7478513,
C08FF0EF,
ED478513,
BA8FF0EF,
80006F,
CE1FF0EF,
C81FF0EF,
FCC42703,
100793,
F70E63,
......@@ -870,23 +894,23 @@ F8440793,
90593,
98613,
78513,
E74FF0EF,
E14FF0EF,
F8440793,
78513,
BA4FF0EF,
B44FF0EF,
17B7,
E7C78513,
B98FF0EF,
EDC78513,
B38FF0EF,
F8440793,
FD842583,
78513,
CC8FF0EF,
C68FF0EF,
F8440793,
78513,
B7CFF0EF,
B1CFF0EF,
17B7,
E8078513,
B70FF0EF,
EE078513,
B10FF0EF,
FD842783,
78A13,
41F7D793,
......@@ -898,20 +922,20 @@ F8440793,
A0593,
A8613,
78513,
E04FF0EF,
DA4FF0EF,
F8440793,
78513,
B34FF0EF,
AD4FF0EF,
17B7,
E8478513,
B28FF0EF,
EE478513,
AC8FF0EF,
80006F,
C01FF0EF,
BA1FF0EF,
13,
9DCFF0EF,
97CFF0EF,
50793,
FE078CE3,
C55FF06F,
BF5FF06F,
2020,
202020,
20,
......@@ -1000,28 +1024,4 @@ CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
;
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 11:54:36 +0800 2021
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
#
################################################################################
......
......@@ -513,7 +513,7 @@
00000011010100010010110000100011
00000101000000010000010000010011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
11111111000001111111011110010011
11111100111101000010101000100011
11111100000001000010110000100011
......@@ -532,7 +532,7 @@
00000000000001111000010100010011
10001111100111111111000011101111
00000000000000000001011110110111
11100100000001111000010100010011
11101010000001111000010100010011
10001110110111111111000011101111
11111100000001000010111000100011
00001010010000000000000001101111
......@@ -542,10 +542,10 @@
11111101010001000010011110000011
00000000111101110000011100110011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
00000000111101110111101001100011
00000000000000000001011110110111
11100100010001111000010100010011
11101010010001111000010100010011
10001011100111111111000011101111
00000101000000000000000001101111
11111101110001000010011110000011
......@@ -565,13 +565,13 @@
00000000000001111000010100010011
10000111010111111111000011101111
00000000000000000001011110110111
11100100100001111000010100010011
11101010100001111000010100010011
10000110100111111111000011101111
11111101110001000010011100000011
00000000011100000000011110010011
00000000111101110001100001100011
00000000000000000001011110110111
11100100110001111000010100010011
11101010110001111000010100010011
10000101000111111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -580,7 +580,7 @@
00000000111100000000011110010011
11110100111001111101110011100011
00000000000000000001011110110111
11100101000001111000010100010011
11101011000001111000010100010011
10000010110111111111000011101111
11111100000001000010111000100011
00000111100000000000000001101111
......@@ -617,7 +617,7 @@
00000000111100000000011110010011
11111000111001111101001011100011
00000000000000000001011110110111
11100101010001111000010100010011
11101011010001111000010100010011
11111001100011111111000011101111
11111101010001000010011110000011
00000001000001111000011110010011
......@@ -634,11 +634,11 @@
00000000100000000000000001101111
00000000000000000000000000010011
00000000000000000001011110110111
11100101100001111000010100010011
11101011100001111000010100010011
11110101010011111111000011101111
00000000000000000001011110110111
11111101010001000010011100000011
11101000111001111010010000100011
11101110111001111010010000100011
00000000000000000000000000010011
00000100110000010010000010000011
00000100100000010010010000000011
......@@ -678,7 +678,7 @@
00000000000001010000011110010011
00000000000001111000100001100011
00000000000000000001011110110111
11100101110001111000010100010011
11101011110001111000010100010011
11101010010011111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -687,27 +687,39 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11011001000011111111000011101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
00000010000001111001000001100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11111010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101100011111111000011101111
11010110000011111111000011101111
00000000000001010000011110010011
00000100000001111000011001100011
11111000010001000000011110010011
00000010011100000000010110010011
00000000000001111000010100010011
11101101010011111111000011101111
11101010010011111111000011101111
00000000000000000000000000010011
00000000000000000001011110110111
11100110000001111000010100010011
11100101110011111111000011101111
11101100000001111000010100010011
11100010110011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101000011111111000011101111
11100010000011111111000011101111
00000000000000000001011110110111
11100101100001111000010100010011
11100100010011111111000011101111
11101011100001111000010100010011
11100001010011111111000011101111
11111000010001000100011100000011
00000110001000000000011110010011
00000110111101110001111001100011
00000010010000000000000001101111
00001010111101110001011001100011
00000101010000000000000001101111
11111101110001000010011110000011
00000000000101111000011110010011
11111100111101000010111000100011
......@@ -715,12 +727,24 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111001000111111111000001101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
11111000000001111001000011100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11110000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11011011100011111111000011101111
11110110000111111111000001101111
11111000010001000000011110010011
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10100110110111111111000011101111
10100000110111111111000011101111
11111010101001000010110000100011
11111011100001000010011110000011
00000010111100000101100001100011
......@@ -735,7 +759,7 @@
00000000110001111000011110010011
00000000111001111010000000100011
00101010110000000000000001101111
11101010010111111111000011101111
11100100010111111111000011101111
00101010010000000000000001101111
11111000010001000100011100000011
00000110010000000000011110010011
......@@ -744,14 +768,14 @@
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10110000100111111111000011101111
10101010100111111111000011101111
11111010101001000010111000100011
11111011110001000010011110000011
00000000111100000101100001100011
11111011110001000010011100000011
00000000000000000001011110110111
11101000111001111010010000100011
11000010010111111111000011101111
11101110111001111010010000100011
10111100010111111111000011101111
00100110010000000000000001101111
11111000010001000100011100000011
00000111011100000000011110010011
......@@ -761,19 +785,19 @@
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101100010111111111000011101111
10100110010111111111000011101111
11111100101001000010010000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101010110111111111000011101111
10100100110111111111000011101111
11111100101001000010001000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101001010111111111000011101111
10100011010111111111000011101111
11111100101001000010000000100011
11111100000001000010011100000011
00000000000100000000011110010011
......@@ -799,7 +823,7 @@
11111100010001000010011100000011
00000000111001111010000000100011
00011010110000000000000001101111
11011010010111111111000011101111
11010100010111111111000011101111
00011010010000000000000001101111
11111000010001000100011100000011
00000111001000000000011110010011
......@@ -810,13 +834,13 @@
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100000000111111111000011101111
10011010000111111111000011101111
11111100101001000010100000100011
11111010110001000010011110000011
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011110100111111111000011101111
10011000100111111111000011101111
11111100101001000010011000100011
11111100110001000010011100000011
00000000000100000000011110010011
......@@ -825,8 +849,8 @@
00000000000001111100011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110010001111000010100010011
11000101100011111111000011101111
11101100010001111000010100010011
10111111100011111111000011101111
00000101100000000000000001101111
11111100110001000010011100000011
00000000001000000000011110010011
......@@ -835,8 +859,8 @@
00000000000001111001011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110110001111000010100010011
11000011000011111111000011101111
11101100110001111000010100010011
10111101000011111111000011101111
00000011000000000000000001101111
11111100110001000010011100000011
00000000010000000000011110010011
......@@ -845,10 +869,10 @@
00000000000001111010011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100111010001111000010100010011
11000000100011111111000011101111
11101101010001111000010100010011
10111010100011111111000011101111
00000000100000000000000001101111
11001110000111111111000011101111
11001000000111111111000011101111
11111100110001000010011100000011
00000000000100000000011110010011
00000000111101110000111001100011
......@@ -868,23 +892,23 @@
00000000000010010000010110010011
00000000000010011000011000010011
00000000000001111000010100010011
11100111010011111111000011101111
11100001010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10111010010011111111000011101111
10110100010011111111000011101111
00000000000000000001011110110111
11100111110001111000010100010011
10111001100011111111000011101111
11101101110001111000010100010011
10110011100011111111000011101111
11111000010001000000011110010011
11111101100001000010010110000011
00000000000001111000010100010011
11001100100011111111000011101111
11000110100011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110111110011111111000011101111
10110001110011111111000011101111
00000000000000000001011110110111
11101000000001111000010100010011
10110111000011111111000011101111
11101110000001111000010100010011
10110001000011111111000011101111
11111101100001000010011110000011
00000000000001111000101000010011
01000001111101111101011110010011
......@@ -896,20 +920,20 @@
00000000000010100000010110010011
00000000000010101000011000010011
00000000000001111000010100010011
11100000010011111111000011101111
11011010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110011010011111111000011101111
10101101010011111111000011101111
00000000000000000001011110110111
11101000010001111000010100010011
10110010100011111111000011101111
11101110010001111000010100010011
10101100100011111111000011101111
00000000100000000000000001101111
11000000000111111111000011101111
10111010000111111111000011101111
00000000000000000000000000010011
10011101110011111111000011101111
10010111110011111111000011101111
00000000000001010000011110010011
11111110000001111000110011100011
11000101010111111111000001101111
10111111010111111111000001101111
00000000000000000010000000100000
00000000001000000010000000100000
00000000000000000000000000100000
......@@ -998,27 +1022,3 @@
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
......@@ -515,7 +515,7 @@ FB010113,
3512C23,
5010413,
17B7,
E887A783,
EE87A783,
FF07F793,
FCF42A23,
FC042C23,
......@@ -534,7 +534,7 @@ FBC40793,
78513,
8F9FF0EF,
17B7,
E4078513,
EA078513,
8EDFF0EF,
FC042E23,
A40006F,
......@@ -544,10 +544,10 @@ FDC42703,
FD442783,
F70733,
17B7,
E887A783,
EE87A783,
F77A63,
17B7,
E4478513,
EA478513,
8B9FF0EF,
500006F,
FDC42783,
......@@ -567,13 +567,13 @@ FBC40793,
78513,
875FF0EF,
17B7,
E4878513,
EA878513,
869FF0EF,
FDC42703,
700793,
F71863,
17B7,
E4C78513,
EAC78513,
851FF0EF,
FDC42783,
178793,
......@@ -582,7 +582,7 @@ FDC42703,
F00793,
F4E7DCE3,
17B7,
E5078513,
EB078513,
82DFF0EF,
FC042E23,
780006F,
......@@ -619,7 +619,7 @@ FDC42703,
F00793,
F8E7D2E3,
17B7,
E5478513,
EB478513,
F98FF0EF,
FD442783,
1078793,
......@@ -636,11 +636,11 @@ E4E7D0E3,
80006F,
13,
17B7,
E5878513,
EB878513,
F54FF0EF,
17B7,
FD442703,
E8E7A423,
EEE7A423,
13,
4C12083,
4812403,
......@@ -680,7 +680,7 @@ D7CFF0EF,
50793,
78863,
17B7,
E5C78513,
EBC78513,
EA4FF0EF,
FDC42783,
178793,
......@@ -689,27 +689,39 @@ F00007B7,
478793,
FDC42703,
E7A023,
D90FF0EF,
FDC42703,
F47B7,
24078793,
2F767B3,
2079063,
F8440793,
FDC42583,
78513,
FA4FF0EF,
F8440793,
78513,
E58FF0EF,
D60FF0EF,
50793,
4078663,
F8440793,
2700593,
78513,
ED4FF0EF,
EA4FF0EF,
13,
17B7,
E6078513,
E5CFF0EF,
EC078513,
E2CFF0EF,
F8440793,
78513,
E50FF0EF,
E20FF0EF,
17B7,
E5878513,
E44FF0EF,
EB878513,
E14FF0EF,
F8444703,
6200793,
6F71E63,
240006F,
AF71663,
540006F,
FDC42783,
178793,
FCF42E23,
......@@ -717,12 +729,24 @@ F00007B7,
478793,
FDC42703,
E7A023,
F91FF06F,
FDC42703,
F47B7,
24078793,
2F767B3,
F80790E3,
F8440793,
FDC42583,
78513,
F04FF0EF,
F8440793,
78513,
DB8FF0EF,
F61FF06F,
F8440793,
278793,
593,
78513,
A6DFF0EF,
A0DFF0EF,
FAA42C23,
FB842783,
2F05863,
......@@ -737,7 +761,7 @@ FB442783,
C78793,
E7A023,
2AC0006F,
EA5FF0EF,
E45FF0EF,
2A40006F,
F8444703,
6400793,
......@@ -746,14 +770,14 @@ F8440793,
278793,
593,
78513,
B09FF0EF,
AA9FF0EF,
FAA42E23,
FBC42783,
F05863,
FBC42703,
17B7,
E8E7A423,
C25FF0EF,
EEE7A423,
BC5FF0EF,
2640006F,
F8444703,
7700793,
......@@ -763,19 +787,19 @@ F8440793,
FB040713,
70593,
78513,
AC5FF0EF,
A65FF0EF,
FCA42423,
FB042783,
FB040713,
70593,
78513,
AADFF0EF,
A4DFF0EF,
FCA42223,
FB042783,
FB040713,
70593,
78513,
A95FF0EF,
A35FF0EF,
FCA42023,
FC042703,
100793,
......@@ -801,7 +825,7 @@ FC842783,
FC442703,
E7A023,
1AC0006F,
DA5FF0EF,
D45FF0EF,
1A40006F,
F8444703,
7200793,
......@@ -812,13 +836,13 @@ F8440793,
FAC40713,
70593,
78513,
A01FF0EF,
9A1FF0EF,
FCA42823,
FAC42783,
FAC40713,
70593,
78513,
9E9FF0EF,
989FF0EF,
FCA42623,
FCC42703,
100793,
......@@ -827,8 +851,8 @@ FD042783,
7C783,
FCF42C23,
17B7,
E6478513,
C58FF0EF,
EC478513,
BF8FF0EF,
580006F,
FCC42703,
200793,
......@@ -837,8 +861,8 @@ FD042783,
79783,
FCF42C23,
17B7,
E6C78513,
C30FF0EF,
ECC78513,
BD0FF0EF,
300006F,
FCC42703,
400793,
......@@ -847,10 +871,10 @@ FD042783,
7A783,
FCF42C23,
17B7,
E7478513,
C08FF0EF,
ED478513,
BA8FF0EF,
80006F,
CE1FF0EF,
C81FF0EF,
FCC42703,
100793,
F70E63,
......@@ -870,23 +894,23 @@ F8440793,
90593,
98613,
78513,
E74FF0EF,
E14FF0EF,
F8440793,
78513,
BA4FF0EF,
B44FF0EF,
17B7,
E7C78513,
B98FF0EF,
EDC78513,
B38FF0EF,
F8440793,
FD842583,
78513,
CC8FF0EF,
C68FF0EF,
F8440793,
78513,
B7CFF0EF,
B1CFF0EF,
17B7,
E8078513,
B70FF0EF,
EE078513,
B10FF0EF,
FD842783,
78A13,
41F7D793,
......@@ -898,20 +922,20 @@ F8440793,
A0593,
A8613,
78513,
E04FF0EF,
DA4FF0EF,
F8440793,
78513,
B34FF0EF,
AD4FF0EF,
17B7,
E8478513,
B28FF0EF,
EE478513,
AC8FF0EF,
80006F,
C01FF0EF,
BA1FF0EF,
13,
9DCFF0EF,
97CFF0EF,
50793,
FE078CE3,
C55FF06F,
BF5FF06F,
2020,
202020,
20,
......@@ -1000,28 +1024,4 @@ CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
;
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 11:54:36 +0800 2021
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
#
################################################################################
......
......@@ -513,7 +513,7 @@
00000011010100010010110000100011
00000101000000010000010000010011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
11111111000001111111011110010011
11111100111101000010101000100011
11111100000001000010110000100011
......@@ -532,7 +532,7 @@
00000000000001111000010100010011
10001111100111111111000011101111
00000000000000000001011110110111
11100100000001111000010100010011
11101010000001111000010100010011
10001110110111111111000011101111
11111100000001000010111000100011
00001010010000000000000001101111
......@@ -542,10 +542,10 @@
11111101010001000010011110000011
00000000111101110000011100110011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
00000000111101110111101001100011
00000000000000000001011110110111
11100100010001111000010100010011
11101010010001111000010100010011
10001011100111111111000011101111
00000101000000000000000001101111
11111101110001000010011110000011
......@@ -565,13 +565,13 @@
00000000000001111000010100010011
10000111010111111111000011101111
00000000000000000001011110110111
11100100100001111000010100010011
11101010100001111000010100010011
10000110100111111111000011101111
11111101110001000010011100000011
00000000011100000000011110010011
00000000111101110001100001100011
00000000000000000001011110110111
11100100110001111000010100010011
11101010110001111000010100010011
10000101000111111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -580,7 +580,7 @@
00000000111100000000011110010011
11110100111001111101110011100011
00000000000000000001011110110111
11100101000001111000010100010011
11101011000001111000010100010011
10000010110111111111000011101111
11111100000001000010111000100011
00000111100000000000000001101111
......@@ -617,7 +617,7 @@
00000000111100000000011110010011
11111000111001111101001011100011
00000000000000000001011110110111
11100101010001111000010100010011
11101011010001111000010100010011
11111001100011111111000011101111
11111101010001000010011110000011
00000001000001111000011110010011
......@@ -634,11 +634,11 @@
00000000100000000000000001101111
00000000000000000000000000010011
00000000000000000001011110110111
11100101100001111000010100010011
11101011100001111000010100010011
11110101010011111111000011101111
00000000000000000001011110110111
11111101010001000010011100000011
11101000111001111010010000100011
11101110111001111010010000100011
00000000000000000000000000010011
00000100110000010010000010000011
00000100100000010010010000000011
......@@ -678,7 +678,7 @@
00000000000001010000011110010011
00000000000001111000100001100011
00000000000000000001011110110111
11100101110001111000010100010011
11101011110001111000010100010011
11101010010011111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -687,27 +687,39 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11011001000011111111000011101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
00000010000001111001000001100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11111010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101100011111111000011101111
11010110000011111111000011101111
00000000000001010000011110010011
00000100000001111000011001100011
11111000010001000000011110010011
00000010011100000000010110010011
00000000000001111000010100010011
11101101010011111111000011101111
11101010010011111111000011101111
00000000000000000000000000010011
00000000000000000001011110110111
11100110000001111000010100010011
11100101110011111111000011101111
11101100000001111000010100010011
11100010110011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101000011111111000011101111
11100010000011111111000011101111
00000000000000000001011110110111
11100101100001111000010100010011
11100100010011111111000011101111
11101011100001111000010100010011
11100001010011111111000011101111
11111000010001000100011100000011
00000110001000000000011110010011
00000110111101110001111001100011
00000010010000000000000001101111
00001010111101110001011001100011
00000101010000000000000001101111
11111101110001000010011110000011
00000000000101111000011110010011
11111100111101000010111000100011
......@@ -715,12 +727,24 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111001000111111111000001101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
11111000000001111001000011100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11110000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11011011100011111111000011101111
11110110000111111111000001101111
11111000010001000000011110010011
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10100110110111111111000011101111
10100000110111111111000011101111
11111010101001000010110000100011
11111011100001000010011110000011
00000010111100000101100001100011
......@@ -735,7 +759,7 @@
00000000110001111000011110010011
00000000111001111010000000100011
00101010110000000000000001101111
11101010010111111111000011101111
11100100010111111111000011101111
00101010010000000000000001101111
11111000010001000100011100000011
00000110010000000000011110010011
......@@ -744,14 +768,14 @@
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10110000100111111111000011101111
10101010100111111111000011101111
11111010101001000010111000100011
11111011110001000010011110000011
00000000111100000101100001100011
11111011110001000010011100000011
00000000000000000001011110110111
11101000111001111010010000100011
11000010010111111111000011101111
11101110111001111010010000100011
10111100010111111111000011101111
00100110010000000000000001101111
11111000010001000100011100000011
00000111011100000000011110010011
......@@ -761,19 +785,19 @@
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101100010111111111000011101111
10100110010111111111000011101111
11111100101001000010010000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101010110111111111000011101111
10100100110111111111000011101111
11111100101001000010001000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101001010111111111000011101111
10100011010111111111000011101111
11111100101001000010000000100011
11111100000001000010011100000011
00000000000100000000011110010011
......@@ -799,7 +823,7 @@
11111100010001000010011100000011
00000000111001111010000000100011
00011010110000000000000001101111
11011010010111111111000011101111
11010100010111111111000011101111
00011010010000000000000001101111
11111000010001000100011100000011
00000111001000000000011110010011
......@@ -810,13 +834,13 @@
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100000000111111111000011101111
10011010000111111111000011101111
11111100101001000010100000100011
11111010110001000010011110000011
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011110100111111111000011101111
10011000100111111111000011101111
11111100101001000010011000100011
11111100110001000010011100000011
00000000000100000000011110010011
......@@ -825,8 +849,8 @@
00000000000001111100011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110010001111000010100010011
11000101100011111111000011101111
11101100010001111000010100010011
10111111100011111111000011101111
00000101100000000000000001101111
11111100110001000010011100000011
00000000001000000000011110010011
......@@ -835,8 +859,8 @@
00000000000001111001011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110110001111000010100010011
11000011000011111111000011101111
11101100110001111000010100010011
10111101000011111111000011101111
00000011000000000000000001101111
11111100110001000010011100000011
00000000010000000000011110010011
......@@ -845,10 +869,10 @@
00000000000001111010011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100111010001111000010100010011
11000000100011111111000011101111
11101101010001111000010100010011
10111010100011111111000011101111
00000000100000000000000001101111
11001110000111111111000011101111
11001000000111111111000011101111
11111100110001000010011100000011
00000000000100000000011110010011
00000000111101110000111001100011
......@@ -868,23 +892,23 @@
00000000000010010000010110010011
00000000000010011000011000010011
00000000000001111000010100010011
11100111010011111111000011101111
11100001010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10111010010011111111000011101111
10110100010011111111000011101111
00000000000000000001011110110111
11100111110001111000010100010011
10111001100011111111000011101111
11101101110001111000010100010011
10110011100011111111000011101111
11111000010001000000011110010011
11111101100001000010010110000011
00000000000001111000010100010011
11001100100011111111000011101111
11000110100011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110111110011111111000011101111
10110001110011111111000011101111
00000000000000000001011110110111
11101000000001111000010100010011
10110111000011111111000011101111
11101110000001111000010100010011
10110001000011111111000011101111
11111101100001000010011110000011
00000000000001111000101000010011
01000001111101111101011110010011
......@@ -896,20 +920,20 @@
00000000000010100000010110010011
00000000000010101000011000010011
00000000000001111000010100010011
11100000010011111111000011101111
11011010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110011010011111111000011101111
10101101010011111111000011101111
00000000000000000001011110110111
11101000010001111000010100010011
10110010100011111111000011101111
11101110010001111000010100010011
10101100100011111111000011101111
00000000100000000000000001101111
11000000000111111111000011101111
10111010000111111111000011101111
00000000000000000000000000010011
10011101110011111111000011101111
10010111110011111111000011101111
00000000000001010000011110010011
11111110000001111000110011100011
11000101010111111111000001101111
10111111010111111111000001101111
00000000000000000010000000100000
00000000001000000010000000100000
00000000000000000000000000100000
......@@ -998,27 +1022,3 @@
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
......@@ -515,7 +515,7 @@ FB010113,
3512C23,
5010413,
17B7,
E887A783,
EE87A783,
FF07F793,
FCF42A23,
FC042C23,
......@@ -534,7 +534,7 @@ FBC40793,
78513,
8F9FF0EF,
17B7,
E4078513,
EA078513,
8EDFF0EF,
FC042E23,
A40006F,
......@@ -544,10 +544,10 @@ FDC42703,
FD442783,
F70733,
17B7,
E887A783,
EE87A783,
F77A63,
17B7,
E4478513,
EA478513,
8B9FF0EF,
500006F,
FDC42783,
......@@ -567,13 +567,13 @@ FBC40793,
78513,
875FF0EF,
17B7,
E4878513,
EA878513,
869FF0EF,
FDC42703,
700793,
F71863,
17B7,
E4C78513,
EAC78513,
851FF0EF,
FDC42783,
178793,
......@@ -582,7 +582,7 @@ FDC42703,
F00793,
F4E7DCE3,
17B7,
E5078513,
EB078513,
82DFF0EF,
FC042E23,
780006F,
......@@ -619,7 +619,7 @@ FDC42703,
F00793,
F8E7D2E3,
17B7,
E5478513,
EB478513,
F98FF0EF,
FD442783,
1078793,
......@@ -636,11 +636,11 @@ E4E7D0E3,
80006F,
13,
17B7,
E5878513,
EB878513,
F54FF0EF,
17B7,
FD442703,
E8E7A423,
EEE7A423,
13,
4C12083,
4812403,
......@@ -680,7 +680,7 @@ D7CFF0EF,
50793,
78863,
17B7,
E5C78513,
EBC78513,
EA4FF0EF,
FDC42783,
178793,
......@@ -689,27 +689,39 @@ F00007B7,
478793,
FDC42703,
E7A023,
D90FF0EF,
FDC42703,
F47B7,
24078793,
2F767B3,
2079063,
F8440793,
FDC42583,
78513,
FA4FF0EF,
F8440793,
78513,
E58FF0EF,
D60FF0EF,
50793,
4078663,
F8440793,
2700593,
78513,
ED4FF0EF,
EA4FF0EF,
13,
17B7,
E6078513,
E5CFF0EF,
EC078513,
E2CFF0EF,
F8440793,
78513,
E50FF0EF,
E20FF0EF,
17B7,
E5878513,
E44FF0EF,
EB878513,
E14FF0EF,
F8444703,
6200793,
6F71E63,
240006F,
AF71663,
540006F,
FDC42783,
178793,
FCF42E23,
......@@ -717,12 +729,24 @@ F00007B7,
478793,
FDC42703,
E7A023,
F91FF06F,
FDC42703,
F47B7,
24078793,
2F767B3,
F80790E3,
F8440793,
FDC42583,
78513,
F04FF0EF,
F8440793,
78513,
DB8FF0EF,
F61FF06F,
F8440793,
278793,
593,
78513,
A6DFF0EF,
A0DFF0EF,
FAA42C23,
FB842783,
2F05863,
......@@ -737,7 +761,7 @@ FB442783,
C78793,
E7A023,
2AC0006F,
EA5FF0EF,
E45FF0EF,
2A40006F,
F8444703,
6400793,
......@@ -746,14 +770,14 @@ F8440793,
278793,
593,
78513,
B09FF0EF,
AA9FF0EF,
FAA42E23,
FBC42783,
F05863,
FBC42703,
17B7,
E8E7A423,
C25FF0EF,
EEE7A423,
BC5FF0EF,
2640006F,
F8444703,
7700793,
......@@ -763,19 +787,19 @@ F8440793,
FB040713,
70593,
78513,
AC5FF0EF,
A65FF0EF,
FCA42423,
FB042783,
FB040713,
70593,
78513,
AADFF0EF,
A4DFF0EF,
FCA42223,
FB042783,
FB040713,
70593,
78513,
A95FF0EF,
A35FF0EF,
FCA42023,
FC042703,
100793,
......@@ -801,7 +825,7 @@ FC842783,
FC442703,
E7A023,
1AC0006F,
DA5FF0EF,
D45FF0EF,
1A40006F,
F8444703,
7200793,
......@@ -812,13 +836,13 @@ F8440793,
FAC40713,
70593,
78513,
A01FF0EF,
9A1FF0EF,
FCA42823,
FAC42783,
FAC40713,
70593,
78513,
9E9FF0EF,
989FF0EF,
FCA42623,
FCC42703,
100793,
......@@ -827,8 +851,8 @@ FD042783,
7C783,
FCF42C23,
17B7,
E6478513,
C58FF0EF,
EC478513,
BF8FF0EF,
580006F,
FCC42703,
200793,
......@@ -837,8 +861,8 @@ FD042783,
79783,
FCF42C23,
17B7,
E6C78513,
C30FF0EF,
ECC78513,
BD0FF0EF,
300006F,
FCC42703,
400793,
......@@ -847,10 +871,10 @@ FD042783,
7A783,
FCF42C23,
17B7,
E7478513,
C08FF0EF,
ED478513,
BA8FF0EF,
80006F,
CE1FF0EF,
C81FF0EF,
FCC42703,
100793,
F70E63,
......@@ -870,23 +894,23 @@ F8440793,
90593,
98613,
78513,
E74FF0EF,
E14FF0EF,
F8440793,
78513,
BA4FF0EF,
B44FF0EF,
17B7,
E7C78513,
B98FF0EF,
EDC78513,
B38FF0EF,
F8440793,
FD842583,
78513,
CC8FF0EF,
C68FF0EF,
F8440793,
78513,
B7CFF0EF,
B1CFF0EF,
17B7,
E8078513,
B70FF0EF,
EE078513,
B10FF0EF,
FD842783,
78A13,
41F7D793,
......@@ -898,20 +922,20 @@ F8440793,
A0593,
A8613,
78513,
E04FF0EF,
DA4FF0EF,
F8440793,
78513,
B34FF0EF,
AD4FF0EF,
17B7,
E8478513,
B28FF0EF,
EE478513,
AC8FF0EF,
80006F,
C01FF0EF,
BA1FF0EF,
13,
9DCFF0EF,
97CFF0EF,
50793,
FE078CE3,
C55FF06F,
BF5FF06F,
2020,
202020,
20,
......@@ -1000,28 +1024,4 @@ CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
;
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 11:54:36 +0800 2021
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
#
################################################################################
......
......@@ -513,7 +513,7 @@
00000011010100010010110000100011
00000101000000010000010000010011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
11111111000001111111011110010011
11111100111101000010101000100011
11111100000001000010110000100011
......@@ -532,7 +532,7 @@
00000000000001111000010100010011
10001111100111111111000011101111
00000000000000000001011110110111
11100100000001111000010100010011
11101010000001111000010100010011
10001110110111111111000011101111
11111100000001000010111000100011
00001010010000000000000001101111
......@@ -542,10 +542,10 @@
11111101010001000010011110000011
00000000111101110000011100110011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
00000000111101110111101001100011
00000000000000000001011110110111
11100100010001111000010100010011
11101010010001111000010100010011
10001011100111111111000011101111
00000101000000000000000001101111
11111101110001000010011110000011
......@@ -565,13 +565,13 @@
00000000000001111000010100010011
10000111010111111111000011101111
00000000000000000001011110110111
11100100100001111000010100010011
11101010100001111000010100010011
10000110100111111111000011101111
11111101110001000010011100000011
00000000011100000000011110010011
00000000111101110001100001100011
00000000000000000001011110110111
11100100110001111000010100010011
11101010110001111000010100010011
10000101000111111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -580,7 +580,7 @@
00000000111100000000011110010011
11110100111001111101110011100011
00000000000000000001011110110111
11100101000001111000010100010011
11101011000001111000010100010011
10000010110111111111000011101111
11111100000001000010111000100011
00000111100000000000000001101111
......@@ -617,7 +617,7 @@
00000000111100000000011110010011
11111000111001111101001011100011
00000000000000000001011110110111
11100101010001111000010100010011
11101011010001111000010100010011
11111001100011111111000011101111
11111101010001000010011110000011
00000001000001111000011110010011
......@@ -634,11 +634,11 @@
00000000100000000000000001101111
00000000000000000000000000010011
00000000000000000001011110110111
11100101100001111000010100010011
11101011100001111000010100010011
11110101010011111111000011101111
00000000000000000001011110110111
11111101010001000010011100000011
11101000111001111010010000100011
11101110111001111010010000100011
00000000000000000000000000010011
00000100110000010010000010000011
00000100100000010010010000000011
......@@ -678,7 +678,7 @@
00000000000001010000011110010011
00000000000001111000100001100011
00000000000000000001011110110111
11100101110001111000010100010011
11101011110001111000010100010011
11101010010011111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -687,27 +687,39 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11011001000011111111000011101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
00000010000001111001000001100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11111010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101100011111111000011101111
11010110000011111111000011101111
00000000000001010000011110010011
00000100000001111000011001100011
11111000010001000000011110010011
00000010011100000000010110010011
00000000000001111000010100010011
11101101010011111111000011101111
11101010010011111111000011101111
00000000000000000000000000010011
00000000000000000001011110110111
11100110000001111000010100010011
11100101110011111111000011101111
11101100000001111000010100010011
11100010110011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101000011111111000011101111
11100010000011111111000011101111
00000000000000000001011110110111
11100101100001111000010100010011
11100100010011111111000011101111
11101011100001111000010100010011
11100001010011111111000011101111
11111000010001000100011100000011
00000110001000000000011110010011
00000110111101110001111001100011
00000010010000000000000001101111
00001010111101110001011001100011
00000101010000000000000001101111
11111101110001000010011110000011
00000000000101111000011110010011
11111100111101000010111000100011
......@@ -715,12 +727,24 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111001000111111111000001101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
11111000000001111001000011100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11110000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11011011100011111111000011101111
11110110000111111111000001101111
11111000010001000000011110010011
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10100110110111111111000011101111
10100000110111111111000011101111
11111010101001000010110000100011
11111011100001000010011110000011
00000010111100000101100001100011
......@@ -735,7 +759,7 @@
00000000110001111000011110010011
00000000111001111010000000100011
00101010110000000000000001101111
11101010010111111111000011101111
11100100010111111111000011101111
00101010010000000000000001101111
11111000010001000100011100000011
00000110010000000000011110010011
......@@ -744,14 +768,14 @@
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10110000100111111111000011101111
10101010100111111111000011101111
11111010101001000010111000100011
11111011110001000010011110000011
00000000111100000101100001100011
11111011110001000010011100000011
00000000000000000001011110110111
11101000111001111010010000100011
11000010010111111111000011101111
11101110111001111010010000100011
10111100010111111111000011101111
00100110010000000000000001101111
11111000010001000100011100000011
00000111011100000000011110010011
......@@ -761,19 +785,19 @@
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101100010111111111000011101111
10100110010111111111000011101111
11111100101001000010010000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101010110111111111000011101111
10100100110111111111000011101111
11111100101001000010001000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101001010111111111000011101111
10100011010111111111000011101111
11111100101001000010000000100011
11111100000001000010011100000011
00000000000100000000011110010011
......@@ -799,7 +823,7 @@
11111100010001000010011100000011
00000000111001111010000000100011
00011010110000000000000001101111
11011010010111111111000011101111
11010100010111111111000011101111
00011010010000000000000001101111
11111000010001000100011100000011
00000111001000000000011110010011
......@@ -810,13 +834,13 @@
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100000000111111111000011101111
10011010000111111111000011101111
11111100101001000010100000100011
11111010110001000010011110000011
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011110100111111111000011101111
10011000100111111111000011101111
11111100101001000010011000100011
11111100110001000010011100000011
00000000000100000000011110010011
......@@ -825,8 +849,8 @@
00000000000001111100011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110010001111000010100010011
11000101100011111111000011101111
11101100010001111000010100010011
10111111100011111111000011101111
00000101100000000000000001101111
11111100110001000010011100000011
00000000001000000000011110010011
......@@ -835,8 +859,8 @@
00000000000001111001011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110110001111000010100010011
11000011000011111111000011101111
11101100110001111000010100010011
10111101000011111111000011101111
00000011000000000000000001101111
11111100110001000010011100000011
00000000010000000000011110010011
......@@ -845,10 +869,10 @@
00000000000001111010011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100111010001111000010100010011
11000000100011111111000011101111
11101101010001111000010100010011
10111010100011111111000011101111
00000000100000000000000001101111
11001110000111111111000011101111
11001000000111111111000011101111
11111100110001000010011100000011
00000000000100000000011110010011
00000000111101110000111001100011
......@@ -868,23 +892,23 @@
00000000000010010000010110010011
00000000000010011000011000010011
00000000000001111000010100010011
11100111010011111111000011101111
11100001010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10111010010011111111000011101111
10110100010011111111000011101111
00000000000000000001011110110111
11100111110001111000010100010011
10111001100011111111000011101111
11101101110001111000010100010011
10110011100011111111000011101111
11111000010001000000011110010011
11111101100001000010010110000011
00000000000001111000010100010011
11001100100011111111000011101111
11000110100011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110111110011111111000011101111
10110001110011111111000011101111
00000000000000000001011110110111
11101000000001111000010100010011
10110111000011111111000011101111
11101110000001111000010100010011
10110001000011111111000011101111
11111101100001000010011110000011
00000000000001111000101000010011
01000001111101111101011110010011
......@@ -896,20 +920,20 @@
00000000000010100000010110010011
00000000000010101000011000010011
00000000000001111000010100010011
11100000010011111111000011101111
11011010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110011010011111111000011101111
10101101010011111111000011101111
00000000000000000001011110110111
11101000010001111000010100010011
10110010100011111111000011101111
11101110010001111000010100010011
10101100100011111111000011101111
00000000100000000000000001101111
11000000000111111111000011101111
10111010000111111111000011101111
00000000000000000000000000010011
10011101110011111111000011101111
10010111110011111111000011101111
00000000000001010000011110010011
11111110000001111000110011100011
11000101010111111111000001101111
10111111010111111111000001101111
00000000000000000010000000100000
00000000001000000010000000100000
00000000000000000000000000100000
......@@ -998,27 +1022,3 @@
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
......@@ -515,7 +515,7 @@ FB010113,
3512C23,
5010413,
17B7,
E887A783,
EE87A783,
FF07F793,
FCF42A23,
FC042C23,
......@@ -534,7 +534,7 @@ FBC40793,
78513,
8F9FF0EF,
17B7,
E4078513,
EA078513,
8EDFF0EF,
FC042E23,
A40006F,
......@@ -544,10 +544,10 @@ FDC42703,
FD442783,
F70733,
17B7,
E887A783,
EE87A783,
F77A63,
17B7,
E4478513,
EA478513,
8B9FF0EF,
500006F,
FDC42783,
......@@ -567,13 +567,13 @@ FBC40793,
78513,
875FF0EF,
17B7,
E4878513,
EA878513,
869FF0EF,
FDC42703,
700793,
F71863,
17B7,
E4C78513,
EAC78513,
851FF0EF,
FDC42783,
178793,
......@@ -582,7 +582,7 @@ FDC42703,
F00793,
F4E7DCE3,
17B7,
E5078513,
EB078513,
82DFF0EF,
FC042E23,
780006F,
......@@ -619,7 +619,7 @@ FDC42703,
F00793,
F8E7D2E3,
17B7,
E5478513,
EB478513,
F98FF0EF,
FD442783,
1078793,
......@@ -636,11 +636,11 @@ E4E7D0E3,
80006F,
13,
17B7,
E5878513,
EB878513,
F54FF0EF,
17B7,
FD442703,
E8E7A423,
EEE7A423,
13,
4C12083,
4812403,
......@@ -680,7 +680,7 @@ D7CFF0EF,
50793,
78863,
17B7,
E5C78513,
EBC78513,
EA4FF0EF,
FDC42783,
178793,
......@@ -689,27 +689,39 @@ F00007B7,
478793,
FDC42703,
E7A023,
D90FF0EF,
FDC42703,
F47B7,
24078793,
2F767B3,
2079063,
F8440793,
FDC42583,
78513,
FA4FF0EF,
F8440793,
78513,
E58FF0EF,
D60FF0EF,
50793,
4078663,
F8440793,
2700593,
78513,
ED4FF0EF,
EA4FF0EF,
13,
17B7,
E6078513,
E5CFF0EF,
EC078513,
E2CFF0EF,
F8440793,
78513,
E50FF0EF,
E20FF0EF,
17B7,
E5878513,
E44FF0EF,
EB878513,
E14FF0EF,
F8444703,
6200793,
6F71E63,
240006F,
AF71663,
540006F,
FDC42783,
178793,
FCF42E23,
......@@ -717,12 +729,24 @@ F00007B7,
478793,
FDC42703,
E7A023,
F91FF06F,
FDC42703,
F47B7,
24078793,
2F767B3,
F80790E3,
F8440793,
FDC42583,
78513,
F04FF0EF,
F8440793,
78513,
DB8FF0EF,
F61FF06F,
F8440793,
278793,
593,
78513,
A6DFF0EF,
A0DFF0EF,
FAA42C23,
FB842783,
2F05863,
......@@ -737,7 +761,7 @@ FB442783,
C78793,
E7A023,
2AC0006F,
EA5FF0EF,
E45FF0EF,
2A40006F,
F8444703,
6400793,
......@@ -746,14 +770,14 @@ F8440793,
278793,
593,
78513,
B09FF0EF,
AA9FF0EF,
FAA42E23,
FBC42783,
F05863,
FBC42703,
17B7,
E8E7A423,
C25FF0EF,
EEE7A423,
BC5FF0EF,
2640006F,
F8444703,
7700793,
......@@ -763,19 +787,19 @@ F8440793,
FB040713,
70593,
78513,
AC5FF0EF,
A65FF0EF,
FCA42423,
FB042783,
FB040713,
70593,
78513,
AADFF0EF,
A4DFF0EF,
FCA42223,
FB042783,
FB040713,
70593,
78513,
A95FF0EF,
A35FF0EF,
FCA42023,
FC042703,
100793,
......@@ -801,7 +825,7 @@ FC842783,
FC442703,
E7A023,
1AC0006F,
DA5FF0EF,
D45FF0EF,
1A40006F,
F8444703,
7200793,
......@@ -812,13 +836,13 @@ F8440793,
FAC40713,
70593,
78513,
A01FF0EF,
9A1FF0EF,
FCA42823,
FAC42783,
FAC40713,
70593,
78513,
9E9FF0EF,
989FF0EF,
FCA42623,
FCC42703,
100793,
......@@ -827,8 +851,8 @@ FD042783,
7C783,
FCF42C23,
17B7,
E6478513,
C58FF0EF,
EC478513,
BF8FF0EF,
580006F,
FCC42703,
200793,
......@@ -837,8 +861,8 @@ FD042783,
79783,
FCF42C23,
17B7,
E6C78513,
C30FF0EF,
ECC78513,
BD0FF0EF,
300006F,
FCC42703,
400793,
......@@ -847,10 +871,10 @@ FD042783,
7A783,
FCF42C23,
17B7,
E7478513,
C08FF0EF,
ED478513,
BA8FF0EF,
80006F,
CE1FF0EF,
C81FF0EF,
FCC42703,
100793,
F70E63,
......@@ -870,23 +894,23 @@ F8440793,
90593,
98613,
78513,
E74FF0EF,
E14FF0EF,
F8440793,
78513,
BA4FF0EF,
B44FF0EF,
17B7,
E7C78513,
B98FF0EF,
EDC78513,
B38FF0EF,
F8440793,
FD842583,
78513,
CC8FF0EF,
C68FF0EF,
F8440793,
78513,
B7CFF0EF,
B1CFF0EF,
17B7,
E8078513,
B70FF0EF,
EE078513,
B10FF0EF,
FD842783,
78A13,
41F7D793,
......@@ -898,20 +922,20 @@ F8440793,
A0593,
A8613,
78513,
E04FF0EF,
DA4FF0EF,
F8440793,
78513,
B34FF0EF,
AD4FF0EF,
17B7,
E8478513,
B28FF0EF,
EE478513,
AC8FF0EF,
80006F,
C01FF0EF,
BA1FF0EF,
13,
9DCFF0EF,
97CFF0EF,
50793,
FE078CE3,
C55FF06F,
BF5FF06F,
2020,
202020,
20,
......@@ -1000,28 +1024,4 @@ CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
;
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 11:54:36 +0800 2021
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
#
################################################################################
......
......@@ -513,7 +513,7 @@
00000011010100010010110000100011
00000101000000010000010000010011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
11111111000001111111011110010011
11111100111101000010101000100011
11111100000001000010110000100011
......@@ -532,7 +532,7 @@
00000000000001111000010100010011
10001111100111111111000011101111
00000000000000000001011110110111
11100100000001111000010100010011
11101010000001111000010100010011
10001110110111111111000011101111
11111100000001000010111000100011
00001010010000000000000001101111
......@@ -542,10 +542,10 @@
11111101010001000010011110000011
00000000111101110000011100110011
00000000000000000001011110110111
11101000100001111010011110000011
11101110100001111010011110000011
00000000111101110111101001100011
00000000000000000001011110110111
11100100010001111000010100010011
11101010010001111000010100010011
10001011100111111111000011101111
00000101000000000000000001101111
11111101110001000010011110000011
......@@ -565,13 +565,13 @@
00000000000001111000010100010011
10000111010111111111000011101111
00000000000000000001011110110111
11100100100001111000010100010011
11101010100001111000010100010011
10000110100111111111000011101111
11111101110001000010011100000011
00000000011100000000011110010011
00000000111101110001100001100011
00000000000000000001011110110111
11100100110001111000010100010011
11101010110001111000010100010011
10000101000111111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -580,7 +580,7 @@
00000000111100000000011110010011
11110100111001111101110011100011
00000000000000000001011110110111
11100101000001111000010100010011
11101011000001111000010100010011
10000010110111111111000011101111
11111100000001000010111000100011
00000111100000000000000001101111
......@@ -617,7 +617,7 @@
00000000111100000000011110010011
11111000111001111101001011100011
00000000000000000001011110110111
11100101010001111000010100010011
11101011010001111000010100010011
11111001100011111111000011101111
11111101010001000010011110000011
00000001000001111000011110010011
......@@ -634,11 +634,11 @@
00000000100000000000000001101111
00000000000000000000000000010011
00000000000000000001011110110111
11100101100001111000010100010011
11101011100001111000010100010011
11110101010011111111000011101111
00000000000000000001011110110111
11111101010001000010011100000011
11101000111001111010010000100011
11101110111001111010010000100011
00000000000000000000000000010011
00000100110000010010000010000011
00000100100000010010010000000011
......@@ -678,7 +678,7 @@
00000000000001010000011110010011
00000000000001111000100001100011
00000000000000000001011110110111
11100101110001111000010100010011
11101011110001111000010100010011
11101010010011111111000011101111
11111101110001000010011110000011
00000000000101111000011110010011
......@@ -687,27 +687,39 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11011001000011111111000011101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
00000010000001111001000001100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11111010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101100011111111000011101111
11010110000011111111000011101111
00000000000001010000011110010011
00000100000001111000011001100011
11111000010001000000011110010011
00000010011100000000010110010011
00000000000001111000010100010011
11101101010011111111000011101111
11101010010011111111000011101111
00000000000000000000000000010011
00000000000000000001011110110111
11100110000001111000010100010011
11100101110011111111000011101111
11101100000001111000010100010011
11100010110011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11100101000011111111000011101111
11100010000011111111000011101111
00000000000000000001011110110111
11100101100001111000010100010011
11100100010011111111000011101111
11101011100001111000010100010011
11100001010011111111000011101111
11111000010001000100011100000011
00000110001000000000011110010011
00000110111101110001111001100011
00000010010000000000000001101111
00001010111101110001011001100011
00000101010000000000000001101111
11111101110001000010011110000011
00000000000101111000011110010011
11111100111101000010111000100011
......@@ -715,12 +727,24 @@
00000000010001111000011110010011
11111101110001000010011100000011
00000000111001111010000000100011
11111001000111111111000001101111
11111101110001000010011100000011
00000000000011110100011110110111
00100100000001111000011110010011
00000010111101110110011110110011
11111000000001111001000011100011
11111000010001000000011110010011
11111101110001000010010110000011
00000000000001111000010100010011
11110000010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
11011011100011111111000011101111
11110110000111111111000001101111
11111000010001000000011110010011
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10100110110111111111000011101111
10100000110111111111000011101111
11111010101001000010110000100011
11111011100001000010011110000011
00000010111100000101100001100011
......@@ -735,7 +759,7 @@
00000000110001111000011110010011
00000000111001111010000000100011
00101010110000000000000001101111
11101010010111111111000011101111
11100100010111111111000011101111
00101010010000000000000001101111
11111000010001000100011100000011
00000110010000000000011110010011
......@@ -744,14 +768,14 @@
00000000001001111000011110010011
00000000000000000000010110010011
00000000000001111000010100010011
10110000100111111111000011101111
10101010100111111111000011101111
11111010101001000010111000100011
11111011110001000010011110000011
00000000111100000101100001100011
11111011110001000010011100000011
00000000000000000001011110110111
11101000111001111010010000100011
11000010010111111111000011101111
11101110111001111010010000100011
10111100010111111111000011101111
00100110010000000000000001101111
11111000010001000100011100000011
00000111011100000000011110010011
......@@ -761,19 +785,19 @@
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101100010111111111000011101111
10100110010111111111000011101111
11111100101001000010010000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101010110111111111000011101111
10100100110111111111000011101111
11111100101001000010001000100011
11111011000001000010011110000011
11111011000001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10101001010111111111000011101111
10100011010111111111000011101111
11111100101001000010000000100011
11111100000001000010011100000011
00000000000100000000011110010011
......@@ -799,7 +823,7 @@
11111100010001000010011100000011
00000000111001111010000000100011
00011010110000000000000001101111
11011010010111111111000011101111
11010100010111111111000011101111
00011010010000000000000001101111
11111000010001000100011100000011
00000111001000000000011110010011
......@@ -810,13 +834,13 @@
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10100000000111111111000011101111
10011010000111111111000011101111
11111100101001000010100000100011
11111010110001000010011110000011
11111010110001000000011100010011
00000000000001110000010110010011
00000000000001111000010100010011
10011110100111111111000011101111
10011000100111111111000011101111
11111100101001000010011000100011
11111100110001000010011100000011
00000000000100000000011110010011
......@@ -825,8 +849,8 @@
00000000000001111100011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110010001111000010100010011
11000101100011111111000011101111
11101100010001111000010100010011
10111111100011111111000011101111
00000101100000000000000001101111
11111100110001000010011100000011
00000000001000000000011110010011
......@@ -835,8 +859,8 @@
00000000000001111001011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100110110001111000010100010011
11000011000011111111000011101111
11101100110001111000010100010011
10111101000011111111000011101111
00000011000000000000000001101111
11111100110001000010011100000011
00000000010000000000011110010011
......@@ -845,10 +869,10 @@
00000000000001111010011110000011
11111100111101000010110000100011
00000000000000000001011110110111
11100111010001111000010100010011
11000000100011111111000011101111
11101101010001111000010100010011
10111010100011111111000011101111
00000000100000000000000001101111
11001110000111111111000011101111
11001000000111111111000011101111
11111100110001000010011100000011
00000000000100000000011110010011
00000000111101110000111001100011
......@@ -868,23 +892,23 @@
00000000000010010000010110010011
00000000000010011000011000010011
00000000000001111000010100010011
11100111010011111111000011101111
11100001010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10111010010011111111000011101111
10110100010011111111000011101111
00000000000000000001011110110111
11100111110001111000010100010011
10111001100011111111000011101111
11101101110001111000010100010011
10110011100011111111000011101111
11111000010001000000011110010011
11111101100001000010010110000011
00000000000001111000010100010011
11001100100011111111000011101111
11000110100011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110111110011111111000011101111
10110001110011111111000011101111
00000000000000000001011110110111
11101000000001111000010100010011
10110111000011111111000011101111
11101110000001111000010100010011
10110001000011111111000011101111
11111101100001000010011110000011
00000000000001111000101000010011
01000001111101111101011110010011
......@@ -896,20 +920,20 @@
00000000000010100000010110010011
00000000000010101000011000010011
00000000000001111000010100010011
11100000010011111111000011101111
11011010010011111111000011101111
11111000010001000000011110010011
00000000000001111000010100010011
10110011010011111111000011101111
10101101010011111111000011101111
00000000000000000001011110110111
11101000010001111000010100010011
10110010100011111111000011101111
11101110010001111000010100010011
10101100100011111111000011101111
00000000100000000000000001101111
11000000000111111111000011101111
10111010000111111111000011101111
00000000000000000000000000010011
10011101110011111111000011101111
10010111110011111111000011101111
00000000000001010000011110010011
11111110000001111000110011100011
11000101010111111111000001101111
10111111010111111111000001101111
00000000000000000010000000100000
00000000001000000010000000100000
00000000000000000000000000100000
......@@ -998,27 +1022,3 @@
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
11001101110011011100110111001101
......@@ -515,7 +515,7 @@ FB010113,
3512C23,
5010413,
17B7,
E887A783,
EE87A783,
FF07F793,
FCF42A23,
FC042C23,
......@@ -534,7 +534,7 @@ FBC40793,
78513,
8F9FF0EF,
17B7,
E4078513,
EA078513,
8EDFF0EF,
FC042E23,
A40006F,
......@@ -544,10 +544,10 @@ FDC42703,
FD442783,
F70733,
17B7,
E887A783,
EE87A783,
F77A63,
17B7,
E4478513,
EA478513,
8B9FF0EF,
500006F,
FDC42783,
......@@ -567,13 +567,13 @@ FBC40793,
78513,
875FF0EF,
17B7,
E4878513,
EA878513,
869FF0EF,
FDC42703,
700793,
F71863,
17B7,
E4C78513,
EAC78513,
851FF0EF,
FDC42783,
178793,
......@@ -582,7 +582,7 @@ FDC42703,
F00793,
F4E7DCE3,
17B7,
E5078513,
EB078513,
82DFF0EF,
FC042E23,
780006F,
......@@ -619,7 +619,7 @@ FDC42703,
F00793,
F8E7D2E3,
17B7,
E5478513,
EB478513,
F98FF0EF,
FD442783,
1078793,
......@@ -636,11 +636,11 @@ E4E7D0E3,
80006F,
13,
17B7,
E5878513,
EB878513,
F54FF0EF,
17B7,
FD442703,
E8E7A423,
EEE7A423,
13,
4C12083,
4812403,
......@@ -680,7 +680,7 @@ D7CFF0EF,
50793,
78863,
17B7,
E5C78513,
EBC78513,
EA4FF0EF,
FDC42783,
178793,
......@@ -689,27 +689,39 @@ F00007B7,
478793,
FDC42703,
E7A023,
D90FF0EF,
FDC42703,
F47B7,
24078793,
2F767B3,
2079063,
F8440793,
FDC42583,
78513,
FA4FF0EF,
F8440793,
78513,
E58FF0EF,
D60FF0EF,
50793,
4078663,
F8440793,
2700593,
78513,
ED4FF0EF,
EA4FF0EF,
13,
17B7,
E6078513,
E5CFF0EF,
EC078513,
E2CFF0EF,
F8440793,
78513,
E50FF0EF,
E20FF0EF,
17B7,
E5878513,
E44FF0EF,
EB878513,
E14FF0EF,
F8444703,
6200793,
6F71E63,
240006F,
AF71663,
540006F,
FDC42783,
178793,
FCF42E23,
......@@ -717,12 +729,24 @@ F00007B7,
478793,
FDC42703,
E7A023,
F91FF06F,
FDC42703,
F47B7,
24078793,
2F767B3,
F80790E3,
F8440793,
FDC42583,
78513,
F04FF0EF,
F8440793,
78513,
DB8FF0EF,
F61FF06F,
F8440793,
278793,
593,
78513,
A6DFF0EF,
A0DFF0EF,
FAA42C23,
FB842783,
2F05863,
......@@ -737,7 +761,7 @@ FB442783,
C78793,
E7A023,
2AC0006F,
EA5FF0EF,
E45FF0EF,
2A40006F,
F8444703,
6400793,
......@@ -746,14 +770,14 @@ F8440793,
278793,
593,
78513,
B09FF0EF,
AA9FF0EF,
FAA42E23,
FBC42783,
F05863,
FBC42703,
17B7,
E8E7A423,
C25FF0EF,
EEE7A423,
BC5FF0EF,
2640006F,
F8444703,
7700793,
......@@ -763,19 +787,19 @@ F8440793,
FB040713,
70593,
78513,
AC5FF0EF,
A65FF0EF,
FCA42423,
FB042783,
FB040713,
70593,
78513,
AADFF0EF,
A4DFF0EF,
FCA42223,
FB042783,
FB040713,
70593,
78513,
A95FF0EF,
A35FF0EF,
FCA42023,
FC042703,
100793,
......@@ -801,7 +825,7 @@ FC842783,
FC442703,
E7A023,
1AC0006F,
DA5FF0EF,
D45FF0EF,
1A40006F,
F8444703,
7200793,
......@@ -812,13 +836,13 @@ F8440793,
FAC40713,
70593,
78513,
A01FF0EF,
9A1FF0EF,
FCA42823,
FAC42783,
FAC40713,
70593,
78513,
9E9FF0EF,
989FF0EF,
FCA42623,
FCC42703,
100793,
......@@ -827,8 +851,8 @@ FD042783,
7C783,
FCF42C23,
17B7,
E6478513,
C58FF0EF,
EC478513,
BF8FF0EF,
580006F,
FCC42703,
200793,
......@@ -837,8 +861,8 @@ FD042783,
79783,
FCF42C23,
17B7,
E6C78513,
C30FF0EF,
ECC78513,
BD0FF0EF,
300006F,
FCC42703,
400793,
......@@ -847,10 +871,10 @@ FD042783,
7A783,
FCF42C23,
17B7,
E7478513,
C08FF0EF,
ED478513,
BA8FF0EF,
80006F,
CE1FF0EF,
C81FF0EF,
FCC42703,
100793,
F70E63,
......@@ -870,23 +894,23 @@ F8440793,
90593,
98613,
78513,
E74FF0EF,
E14FF0EF,
F8440793,
78513,
BA4FF0EF,
B44FF0EF,
17B7,
E7C78513,
B98FF0EF,
EDC78513,
B38FF0EF,
F8440793,
FD842583,
78513,
CC8FF0EF,
C68FF0EF,
F8440793,
78513,
B7CFF0EF,
B1CFF0EF,
17B7,
E8078513,
B70FF0EF,
EE078513,
B10FF0EF,
FD842783,
78A13,
41F7D793,
......@@ -898,20 +922,20 @@ F8440793,
A0593,
A8613,
78513,
E04FF0EF,
DA4FF0EF,
F8440793,
78513,
B34FF0EF,
AD4FF0EF,
17B7,
E8478513,
B28FF0EF,
EE478513,
AC8FF0EF,
80006F,
C01FF0EF,
BA1FF0EF,
13,
9DCFF0EF,
97CFF0EF,
50793,
FE078CE3,
C55FF06F,
BF5FF06F,
2020,
202020,
20,
......@@ -1000,28 +1024,4 @@ CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
CDCDCDCD,
;
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 11:54:36 +0800 2021
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 11:54:36 +0800 2021
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 11:54:36 +0800 2021
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
#
################################################################################
......
......@@ -4,7 +4,7 @@
# README.txt: Please read the sections below to understand the steps required to
# run the exported script and information about the source files.
#
# Generated by export_simulation on Mon Sep 13 11:54:36 +0800 2021
# Generated by export_simulation on Mon Sep 13 20:38:15 +0800 2021
#
################################################################################
......
<?xml version="1.0" encoding="UTF-8"?>
<GenRun Id="impl_1" LaunchPart="xc7z020clg400-2" LaunchTime="1631507988">
<GenRun Id="impl_1" LaunchPart="xc7z020clg400-2" LaunchTime="1631539507">
<File Type="ROUTE-PWR" Name="risc_axi_v5_top_wrapper_power_routed.rpt"/>
<File Type="PA-TCL" Name="risc_axi_v5_top_wrapper.tcl"/>
<File Type="BITSTR-MMI" Name="risc_axi_v5_top_wrapper.mmi"/>
<File Type="OPT-METHODOLOGY-DRC" Name="risc_axi_v5_top_wrapper_methodology_drc_opted.rpt"/>
<File Type="INIT-TIMING" Name="risc_axi_v5_top_wrapper_timing_summary_init.rpt"/>
<File Type="ROUTE-PWR-SUM" Name="risc_axi_v5_top_wrapper_power_summary_routed.pb"/>
<File Type="REPORTS-TCL" Name="risc_axi_v5_top_wrapper_reports.tcl"/>
<File Type="BITSTR-MSK" Name="risc_axi_v5_top_wrapper.msk"/>
<File Type="ROUTE-CLK" Name="risc_axi_v5_top_wrapper_clock_utilization_routed.rpt"/>
<File Type="RDI-RDI" Name="risc_axi_v5_top_wrapper.vdi"/>
<File Type="BG-BIT" Name="risc_axi_v5_top_wrapper.bit"/>
<File Type="BG-DRC" Name="risc_axi_v5_top_wrapper.drc"/>
<File Type="BG-BGN" Name="risc_axi_v5_top_wrapper.bgn"/>
<File Type="BG-BIN" Name="risc_axi_v5_top_wrapper.bin"/>
<File Type="BITSTR-RBT" Name="risc_axi_v5_top_wrapper.rbt"/>
<File Type="BITSTR-NKY" Name="risc_axi_v5_top_wrapper.nky"/>
<File Type="BITSTR-BMM" Name="risc_axi_v5_top_wrapper_bd.bmm"/>
<File Type="BITSTR-MMI" Name="risc_axi_v5_top_wrapper.mmi"/>
<File Type="ROUTE-METHODOLOGY-DRC" Name="risc_axi_v5_top_wrapper_methodology_drc_routed.rpt"/>
<File Type="BITSTR-SYSDEF" Name="risc_axi_v5_top_wrapper.sysdef"/>
<File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
<File Type="BITSTR-LTX" Name="risc_axi_v5_top_wrapper.ltx"/>
<File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
<File Type="OPT-TIMING" Name="risc_axi_v5_top_wrapper_timing_summary_opted.rpt"/>
<File Type="OPT-DCP" Name="risc_axi_v5_top_wrapper_opt.dcp"/>
<File Type="OPT-DRC" Name="risc_axi_v5_top_wrapper_drc_opted.rpt"/>
<File Type="OPT-HWDEF" Name="risc_axi_v5_top_wrapper.hwdef"/>
<File Type="PWROPT-DCP" Name="risc_axi_v5_top_wrapper_pwropt.dcp"/>
<File Type="PWROPT-DRC" Name="risc_axi_v5_top_wrapper_drc_pwropted.rpt"/>
<File Type="PWROPT-TIMING" Name="risc_axi_v5_top_wrapper_timing_summary_pwropted.rpt"/>
<File Type="PLACE-DCP" Name="risc_axi_v5_top_wrapper_placed.dcp"/>
<File Type="PLACE-IO" Name="risc_axi_v5_top_wrapper_io_placed.rpt"/>
<File Type="PLACE-CLK" Name="risc_axi_v5_top_wrapper_clock_utilization_placed.rpt"/>
<File Type="PLACE-UTIL" Name="risc_axi_v5_top_wrapper_utilization_placed.rpt"/>
<File Type="PLACE-UTIL-PB" Name="risc_axi_v5_top_wrapper_utilization_placed.pb"/>
<File Type="PLACE-CTRL" Name="risc_axi_v5_top_wrapper_control_sets_placed.rpt"/>
<File Type="PLACE-SIMILARITY" Name="risc_axi_v5_top_wrapper_incremental_reuse_placed.rpt"/>
<File Type="PLACE-PRE-SIMILARITY" Name="risc_axi_v5_top_wrapper_incremental_reuse_pre_placed.rpt"/>
<File Type="BG-BIN" Name="risc_axi_v5_top_wrapper.bin"/>
<File Type="PLACE-TIMING" Name="risc_axi_v5_top_wrapper_timing_summary_placed.rpt"/>
<File Type="POSTPLACE-PWROPT-DCP" Name="risc_axi_v5_top_wrapper_postplace_pwropt.dcp"/>
<File Type="BITSTR-MSK" Name="risc_axi_v5_top_wrapper.msk"/>
<File Type="POSTPLACE-PWROPT-TIMING" Name="risc_axi_v5_top_wrapper_timing_summary_postplace_pwropted.rpt"/>
<File Type="PHYSOPT-DCP" Name="risc_axi_v5_top_wrapper_physopt.dcp"/>
<File Type="PHYSOPT-DRC" Name="risc_axi_v5_top_wrapper_drc_physopted.rpt"/>
<File Type="BITSTR-RBT" Name="risc_axi_v5_top_wrapper.rbt"/>
<File Type="PHYSOPT-TIMING" Name="risc_axi_v5_top_wrapper_timing_summary_physopted.rpt"/>
<File Type="ROUTE-ERROR-DCP" Name="risc_axi_v5_top_wrapper_routed_error.dcp"/>
<File Type="ROUTE-DCP" Name="risc_axi_v5_top_wrapper_routed.dcp"/>
<File Type="ROUTE-BLACKBOX-DCP" Name="risc_axi_v5_top_wrapper_routed_bb.dcp"/>
<File Type="ROUTE-DRC" Name="risc_axi_v5_top_wrapper_drc_routed.rpt"/>
<File Type="ROUTE-DRC-PB" Name="risc_axi_v5_top_wrapper_drc_routed.pb"/>
<File Type="ROUTE-DRC-RPX" Name="risc_axi_v5_top_wrapper_drc_routed.rpx"/>
<File Type="ROUTE-METHODOLOGY-DRC" Name="risc_axi_v5_top_wrapper_methodology_drc_routed.rpt"/>
<File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="risc_axi_v5_top_wrapper_methodology_drc_routed.rpx"/>
<File Type="ROUTE-METHODOLOGY-DRC-PB" Name="risc_axi_v5_top_wrapper_methodology_drc_routed.pb"/>
<File Type="ROUTE-PWR-RPX" Name="risc_axi_v5_top_wrapper_power_routed.rpx"/>
<File Type="ROUTE-STATUS" Name="risc_axi_v5_top_wrapper_route_status.rpt"/>
<File Type="ROUTE-STATUS-PB" Name="risc_axi_v5_top_wrapper_route_status.pb"/>
<File Type="ROUTE-DCP" Name="risc_axi_v5_top_wrapper_routed.dcp"/>
<File Type="ROUTE-ERROR-DCP" Name="risc_axi_v5_top_wrapper_routed_error.dcp"/>
<File Type="ROUTE-BLACKBOX-DCP" Name="risc_axi_v5_top_wrapper_routed_bb.dcp"/>
<File Type="ROUTE-TIMINGSUMMARY" Name="risc_axi_v5_top_wrapper_timing_summary_routed.rpt"/>
<File Type="ROUTE-TIMING-PB" Name="risc_axi_v5_top_wrapper_timing_summary_routed.pb"/>
<File Type="ROUTE-TIMING-RPX" Name="risc_axi_v5_top_wrapper_timing_summary_routed.rpx"/>
<File Type="ROUTE-SIMILARITY" Name="risc_axi_v5_top_wrapper_incremental_reuse_routed.rpt"/>
<File Type="ROUTE-CLK" Name="risc_axi_v5_top_wrapper_clock_utilization_routed.rpt"/>
<File Type="ROUTE-BUS-SKEW" Name="risc_axi_v5_top_wrapper_bus_skew_routed.rpt"/>
<File Type="ROUTE-BUS-SKEW-PB" Name="risc_axi_v5_top_wrapper_bus_skew_routed.pb"/>
<File Type="ROUTE-BUS-SKEW-RPX" Name="risc_axi_v5_top_wrapper_bus_skew_routed.rpx"/>
<File Type="OPT-DCP" Name="risc_axi_v5_top_wrapper_opt.dcp"/>
<File Type="PLACE-DCP" Name="risc_axi_v5_top_wrapper_placed.dcp"/>
<File Type="PWROPT-DCP" Name="risc_axi_v5_top_wrapper_pwropt.dcp"/>
<File Type="POSTPLACE-PWROPT-DCP" Name="risc_axi_v5_top_wrapper_postplace_pwropt.dcp"/>
<File Type="PHYSOPT-DCP" Name="risc_axi_v5_top_wrapper_physopt.dcp"/>
<File Type="POSTROUTE-PHYSOPT-DCP" Name="risc_axi_v5_top_wrapper_postroute_physopt.dcp"/>
<File Type="POSTROUTE-PHYSOPT-BLACKBOX-DCP" Name="risc_axi_v5_top_wrapper_postroute_physopt_bb.dcp"/>
<File Type="ROUTE-METHODOLOGY-DRC-PB" Name="risc_axi_v5_top_wrapper_methodology_drc_routed.pb"/>
<File Type="ROUTE-DRC-RPX" Name="risc_axi_v5_top_wrapper_drc_routed.rpx"/>
<File Type="ROUTE-METHODOLOGY-DRC-RPX" Name="risc_axi_v5_top_wrapper_methodology_drc_routed.rpx"/>
<File Type="POSTROUTE-PHYSOPT-TIMING" Name="risc_axi_v5_top_wrapper_timing_summary_postroute_physopted.rpt"/>
<File Type="POSTROUTE-PHYSOPT-TIMING-PB" Name="risc_axi_v5_top_wrapper_timing_summary_postroute_physopted.pb"/>
<File Type="POSTROUTE-PHYSOPT-TIMING-RPX" Name="risc_axi_v5_top_wrapper_timing_summary_postroute_physopted.rpx"/>
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW" Name="risc_axi_v5_top_wrapper_bus_skew_postroute_physopted.rpt"/>
<File Type="BG-BIT" Name="risc_axi_v5_top_wrapper.bit"/>
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW-PB" Name="risc_axi_v5_top_wrapper_bus_skew_postroute_physopted.pb"/>
<File Type="POSTROUTE-PHYSOPT-BUS-SKEW-RPX" Name="risc_axi_v5_top_wrapper_bus_skew_postroute_physopted.rpx"/>
<File Type="BITSTR-NKY" Name="risc_axi_v5_top_wrapper.nky"/>
<File Type="BITSTR-BMM" Name="risc_axi_v5_top_wrapper_bd.bmm"/>
<File Type="PDI-FILE" Name="risc_axi_v5_top_wrapper.pdi"/>
<File Type="RCFI_FILE" Name="risc_axi_v5_top_wrapper.rcfi"/>
<File Type="CFI_FILE" Name="risc_axi_v5_top_wrapper.cfi"/>
<File Type="RNPI_FILE" Name="risc_axi_v5_top_wrapper.rnpi"/>
<File Type="NPI_FILE" Name="risc_axi_v5_top_wrapper.npi"/>
<File Type="RBD_FILE" Name="risc_axi_v5_top_wrapper.rbd"/>
<File Type="BITSTR-LTX" Name="debug_nets.ltx"/>
<File Type="BITSTR-LTX" Name="risc_axi_v5_top_wrapper.ltx"/>
<File Type="BITSTR-SYSDEF" Name="risc_axi_v5_top_wrapper.sysdef"/>
<File Type="BG-BGN" Name="risc_axi_v5_top_wrapper.bgn"/>
<File Type="BG-DRC" Name="risc_axi_v5_top_wrapper.drc"/>
<File Type="RDI-RDI" Name="risc_axi_v5_top_wrapper.vdi"/>
<File Type="WBT-USG" Name="usage_statistics_webtalk.html"/>
<FileSet Name="sources" Type="DesignSrcs" RelSrcDir="$PSRCDIR/sources_1" RelGenDir="$PGENDIR/sources_1">
<Filter Type="Srcs"/>
<File Path="$PSRCDIR/sources_1/ip/ram4KB/ram4KB.xci">
<File Path="$PPRDIR/../../verilog/led_key/led_key.v">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PPRDIR/../../verilog/riscv_axi/div32.v">
<File Path="$PSRCDIR/sources_1/ip/ram4KB/ram4KB.xci">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PPRDIR/../../verilog/riscv_axi/mul32.v">
<File Path="$PPRDIR/../../verilog/riscv_axi/div32.v">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PPRDIR/../../verilog/riscv_axi/regfile.v">
<File Path="$PPRDIR/../../verilog/riscv_axi/mul32.v">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PPRDIR/../../verilog/riscv_axi/riscv_core_v5.v">
<File Path="$PPRDIR/../../verilog/riscv_axi/regfile.v">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PPRDIR/../../verilog/riscv_axi/riscv_core_with_axi_master.v">
<File Path="$PPRDIR/../../verilog/riscv_axi/riscv_core_v5.v">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
<Attr Name="UsedIn" Val="simulation"/>
</FileInfo>
</File>
<File Path="$PPRDIR/../../verilog/led_key/led_key.v">
<File Path="$PPRDIR/../../verilog/riscv_axi/riscv_core_with_axi_master.v">
<FileInfo>
<Attr Name="UsedIn" Val="synthesis"/>
<Attr Name="UsedIn" Val="implementation"/>
......@@ -191,6 +209,5 @@
<Step Id="route_design"/>
<Step Id="post_route_phys_opt_design"/>
<Step Id="write_bitstream"/>
<Step Id="write_device_image"/>
</Strategy>
</GenRun>
......@@ -149,7 +149,7 @@ OPTRACE "add files" START { }
set_msg_config -source 4 -id {BD 41-1661} -limit 0
set_param project.isImplRun true
read_ip -quiet D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.srcs/sources_1/ip/ram4KB/ram4KB.xci
read_ip -quiet d:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.srcs/sources_1/ip/hdl4se_uart_fifo/hdl4se_uart_fifo.xci
read_ip -quiet D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.srcs/sources_1/ip/hdl4se_uart_fifo/hdl4se_uart_fifo.xci
add_files D:/gitwork/hdl4se/examples/hdl4se_riscv/z7/riscv_axi_v5/riscv_axi_v5.srcs/sources_1/bd/risc_axi_v5_top/risc_axi_v5_top.bd
set_param project.isImplRun false
OPTRACE "read constraints: implementation" START { }
......
Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
| Date : Mon Sep 13 12:42:12 2021
| Date : Mon Sep 13 21:27:35 2021
| Host : DESKTOP-I91JIJO running 64-bit major release (build 9200)
| Command : report_bus_skew -warn_on_violation -file risc_axi_v5_top_wrapper_bus_skew_routed.rpt -pb risc_axi_v5_top_wrapper_bus_skew_routed.pb -rpx risc_axi_v5_top_wrapper_bus_skew_routed.rpx
| Design : risc_axi_v5_top_wrapper
......
Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
-----------------------------------------------------------------------------------------------------
| Tool Version : Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
| Date : Mon Sep 13 12:42:12 2021
| Date : Mon Sep 13 21:27:35 2021
| Host : DESKTOP-I91JIJO running 64-bit major release (build 9200)
| Command : report_clock_utilization -file risc_axi_v5_top_wrapper_clock_utilization_routed.rpt
| Design : risc_axi_v5_top_wrapper
......@@ -45,7 +45,7 @@ Table of Contents
+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+-----------------------+----------------+
| Global Id | Source Id | Driver Type/Pin | Constraint | Site | Clock Region | Load Clock Region | Clock Loads | Non-Clock Loads | Clock Period | Clock | Driver Pin | Net |
+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+-----------------------+----------------+
| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 2 | 1064 | 0 | | | wClk_IBUF_BUFG_inst/O | wClk_IBUF_BUFG |
| g0 | src0 | BUFG/O | None | BUFGCTRL_X0Y16 | n/a | 2 | 1100 | 0 | | | wClk_IBUF_BUFG_inst/O | wClk_IBUF_BUFG |
+-----------+-----------+-----------------+------------+----------------+--------------+-------------------+-------------+-----------------+--------------+-------+-----------------------+----------------+
* Clock Loads column represents the clock pin loads (pin count)
** Non-Clock Loads column represents the non-clock pin loads (pin count)
......@@ -72,9 +72,9 @@ Table of Contents
| Clock Region Name | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail | Used | Avail |
+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
| X0Y0 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2500 | 0 | 1000 | 0 | 60 | 0 | 30 | 0 | 60 |
| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 704 | 3200 | 233 | 850 | 2 | 60 | 1 | 30 | 0 | 40 |
| X1Y0 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 721 | 3200 | 236 | 850 | 1 | 60 | 1 | 30 | 0 | 40 |
| X0Y1 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 |
| X1Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 349 | 2600 | 119 | 850 | 0 | 60 | 0 | 30 | 0 | 40 |
| X1Y1 | 1 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 368 | 2600 | 113 | 850 | 0 | 60 | 0 | 30 | 0 | 40 |
| X0Y2 | 0 | 12 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1200 | 0 | 400 | 0 | 20 | 0 | 10 | 0 | 20 |
| X1Y2 | 0 | 12 | 0 | 4 | 0 | 2 | 0 | 4 | 0 | 1 | 0 | 1 | 0 | 0 | 0 | 0 | 0 | 50 | 0 | 50 | 0 | 2600 | 0 | 850 | 0 | 60 | 0 | 30 | 0 | 40 |
+-------------------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+------+-------+
......@@ -100,7 +100,7 @@ All Modules
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+----------------+
| Global Id | Driver Type/Pin | Driver Region (D) | Clock | Period (ns) | Waveform (ns) | Slice Loads | IO Loads | Clocking Loads | GT Loads | Net |
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+----------------+
| g0 | BUFG/O | n/a | | | | 1059 | 0 | 0 | 0 | wClk_IBUF_BUFG |
| g0 | BUFG/O | n/a | | | | 1095 | 0 | 0 | 0 | wClk_IBUF_BUFG |
+-----------+-----------------+-------------------+-------+-------------+---------------+-------------+----------+----------------+----------+----------------+
* Slice Loads column represents load cell count of all cell types other than IO, GT and clock resources
** IO Loads column represents load cell count of IO types
......@@ -112,8 +112,8 @@ All Modules
| | X0 | X1 | HORIZONTAL PROG DELAY |
+----+----+------+-----------------------+
| Y2 | 0 | 0 | 0 |
| Y1 | 0 | 349 | 0 |
| Y0 | 0 | 710 | 0 |
| Y1 | 0 | 368 | 0 |
| Y0 | 0 | 727 | 0 |
+----+----+------+-----------------------+
......@@ -123,7 +123,7 @@ All Modules
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+----------------+
| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net |
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+----------------+
| g0 | n/a | BUFG/O | None | 710 | 0 | 704 | 1 | 3 | 0 | 0 | 0 | 0 | 0 | wClk_IBUF_BUFG |
| g0 | n/a | BUFG/O | None | 727 | 0 | 721 | 1 | 2 | 0 | 0 | 0 | 0 | 0 | wClk_IBUF_BUFG |
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+----------------+
* Clock Loads column represents the clock pin loads (pin count)
** Non-Clock Loads column represents the non-clock pin loads (pin count)
......@@ -136,7 +136,7 @@ All Modules
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+----------------+
| Global Id | Track | Driver Type/Pin | Constraint | Clock Loads | Non-Clock Loads | FF | LUTRAM | RAMB | DSP | GT | MMCM | PLL | Hard IP | Net |
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+----------------+
| g0 | n/a | BUFG/O | None | 349 | 0 | 349 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | wClk_IBUF_BUFG |
| g0 | n/a | BUFG/O | None | 368 | 0 | 368 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | wClk_IBUF_BUFG |
+-----------+-------+-----------------+------------+-------------+-----------------+-----+--------+------+-----+----+------+-----+---------+----------------+
* Clock Loads column represents the clock pin loads (pin count)
** Non-Clock Loads column represents the non-clock pin loads (pin count)
......
Markdown is supported
0% .
You are about to add 0 people to the discussion. Proceed with caution.
先完成此消息的编辑!
想要评论请 注册