risc_axi_v5_top.v 54.2 KB
Newer Older
饶先宏's avatar
饶先宏 已提交
1 2 3
//Copyright 1986-2021 Xilinx, Inc. All Rights Reserved.
//--------------------------------------------------------------------------------
//Tool Version: Vivado v.2021.1 (win64) Build 3247384 Thu Jun 10 19:36:33 MDT 2021
饶先宏's avatar
饶先宏 已提交
4
//Date        : Mon Sep 13 21:25:05 2021
饶先宏's avatar
饶先宏 已提交
5 6 7 8 9 10 11 12 13 14 15
//Host        : DESKTOP-I91JIJO running 64-bit major release  (build 9200)
//Command     : generate_target risc_axi_v5_top.bd
//Design      : risc_axi_v5_top
//Purpose     : IP block netlist
//--------------------------------------------------------------------------------
`timescale 1 ps / 1 ps

module m00_couplers_imp_DIBHKD
   (M_ACLK,
    M_ARESETN,
    M_AXI_araddr,
饶先宏's avatar
饶先宏 已提交
16
    M_AXI_arprot,
饶先宏's avatar
饶先宏 已提交
17 18 19
    M_AXI_arready,
    M_AXI_arvalid,
    M_AXI_awaddr,
饶先宏's avatar
饶先宏 已提交
20
    M_AXI_awprot,
饶先宏's avatar
饶先宏 已提交
21 22 23 24 25 26 27 28 29 30 31 32 33 34 35 36
    M_AXI_awready,
    M_AXI_awvalid,
    M_AXI_bready,
    M_AXI_bresp,
    M_AXI_bvalid,
    M_AXI_rdata,
    M_AXI_rready,
    M_AXI_rresp,
    M_AXI_rvalid,
    M_AXI_wdata,
    M_AXI_wready,
    M_AXI_wstrb,
    M_AXI_wvalid,
    S_ACLK,
    S_ARESETN,
    S_AXI_araddr,
饶先宏's avatar
饶先宏 已提交
37
    S_AXI_arprot,
饶先宏's avatar
饶先宏 已提交
38 39 40
    S_AXI_arready,
    S_AXI_arvalid,
    S_AXI_awaddr,
饶先宏's avatar
饶先宏 已提交
41
    S_AXI_awprot,
饶先宏's avatar
饶先宏 已提交
42 43 44 45 46 47 48 49 50 51 52 53 54 55 56 57
    S_AXI_awready,
    S_AXI_awvalid,
    S_AXI_bready,
    S_AXI_bresp,
    S_AXI_bvalid,
    S_AXI_rdata,
    S_AXI_rready,
    S_AXI_rresp,
    S_AXI_rvalid,
    S_AXI_wdata,
    S_AXI_wready,
    S_AXI_wstrb,
    S_AXI_wvalid);
  input M_ACLK;
  input M_ARESETN;
  output [31:0]M_AXI_araddr;
饶先宏's avatar
饶先宏 已提交
58 59 60
  output [2:0]M_AXI_arprot;
  input M_AXI_arready;
  output M_AXI_arvalid;
饶先宏's avatar
饶先宏 已提交
61
  output [31:0]M_AXI_awaddr;
饶先宏's avatar
饶先宏 已提交
62 63 64 65
  output [2:0]M_AXI_awprot;
  input M_AXI_awready;
  output M_AXI_awvalid;
  output M_AXI_bready;
饶先宏's avatar
饶先宏 已提交
66
  input [1:0]M_AXI_bresp;
饶先宏's avatar
饶先宏 已提交
67
  input M_AXI_bvalid;
饶先宏's avatar
饶先宏 已提交
68
  input [31:0]M_AXI_rdata;
饶先宏's avatar
饶先宏 已提交
69
  output M_AXI_rready;
饶先宏's avatar
饶先宏 已提交
70
  input [1:0]M_AXI_rresp;
饶先宏's avatar
饶先宏 已提交
71
  input M_AXI_rvalid;
饶先宏's avatar
饶先宏 已提交
72
  output [31:0]M_AXI_wdata;
饶先宏's avatar
饶先宏 已提交
73
  input M_AXI_wready;
饶先宏's avatar
饶先宏 已提交
74
  output [3:0]M_AXI_wstrb;
饶先宏's avatar
饶先宏 已提交
75
  output M_AXI_wvalid;
饶先宏's avatar
饶先宏 已提交
76 77 78
  input S_ACLK;
  input S_ARESETN;
  input [31:0]S_AXI_araddr;
饶先宏's avatar
饶先宏 已提交
79 80 81
  input [2:0]S_AXI_arprot;
  output S_AXI_arready;
  input S_AXI_arvalid;
饶先宏's avatar
饶先宏 已提交
82
  input [31:0]S_AXI_awaddr;
饶先宏's avatar
饶先宏 已提交
83 84 85 86
  input [2:0]S_AXI_awprot;
  output S_AXI_awready;
  input S_AXI_awvalid;
  input S_AXI_bready;
饶先宏's avatar
饶先宏 已提交
87
  output [1:0]S_AXI_bresp;
饶先宏's avatar
饶先宏 已提交
88
  output S_AXI_bvalid;
饶先宏's avatar
饶先宏 已提交
89
  output [31:0]S_AXI_rdata;
饶先宏's avatar
饶先宏 已提交
90
  input S_AXI_rready;
饶先宏's avatar
饶先宏 已提交
91
  output [1:0]S_AXI_rresp;
饶先宏's avatar
饶先宏 已提交
92
  output S_AXI_rvalid;
饶先宏's avatar
饶先宏 已提交
93
  input [31:0]S_AXI_wdata;
饶先宏's avatar
饶先宏 已提交
94
  output S_AXI_wready;
饶先宏's avatar
饶先宏 已提交
95
  input [3:0]S_AXI_wstrb;
饶先宏's avatar
饶先宏 已提交
96
  input S_AXI_wvalid;
饶先宏's avatar
饶先宏 已提交
97 98

  wire [31:0]m00_couplers_to_m00_couplers_ARADDR;
饶先宏's avatar
饶先宏 已提交
99 100 101
  wire [2:0]m00_couplers_to_m00_couplers_ARPROT;
  wire m00_couplers_to_m00_couplers_ARREADY;
  wire m00_couplers_to_m00_couplers_ARVALID;
饶先宏's avatar
饶先宏 已提交
102
  wire [31:0]m00_couplers_to_m00_couplers_AWADDR;
饶先宏's avatar
饶先宏 已提交
103 104 105 106
  wire [2:0]m00_couplers_to_m00_couplers_AWPROT;
  wire m00_couplers_to_m00_couplers_AWREADY;
  wire m00_couplers_to_m00_couplers_AWVALID;
  wire m00_couplers_to_m00_couplers_BREADY;
饶先宏's avatar
饶先宏 已提交
107
  wire [1:0]m00_couplers_to_m00_couplers_BRESP;
饶先宏's avatar
饶先宏 已提交
108
  wire m00_couplers_to_m00_couplers_BVALID;
饶先宏's avatar
饶先宏 已提交
109
  wire [31:0]m00_couplers_to_m00_couplers_RDATA;
饶先宏's avatar
饶先宏 已提交
110
  wire m00_couplers_to_m00_couplers_RREADY;
饶先宏's avatar
饶先宏 已提交
111
  wire [1:0]m00_couplers_to_m00_couplers_RRESP;
饶先宏's avatar
饶先宏 已提交
112
  wire m00_couplers_to_m00_couplers_RVALID;
饶先宏's avatar
饶先宏 已提交
113
  wire [31:0]m00_couplers_to_m00_couplers_WDATA;
饶先宏's avatar
饶先宏 已提交
114
  wire m00_couplers_to_m00_couplers_WREADY;
饶先宏's avatar
饶先宏 已提交
115
  wire [3:0]m00_couplers_to_m00_couplers_WSTRB;
饶先宏's avatar
饶先宏 已提交
116
  wire m00_couplers_to_m00_couplers_WVALID;
饶先宏's avatar
饶先宏 已提交
117 118

  assign M_AXI_araddr[31:0] = m00_couplers_to_m00_couplers_ARADDR;
饶先宏's avatar
饶先宏 已提交
119 120
  assign M_AXI_arprot[2:0] = m00_couplers_to_m00_couplers_ARPROT;
  assign M_AXI_arvalid = m00_couplers_to_m00_couplers_ARVALID;
饶先宏's avatar
饶先宏 已提交
121
  assign M_AXI_awaddr[31:0] = m00_couplers_to_m00_couplers_AWADDR;
饶先宏's avatar
饶先宏 已提交
122 123 124 125
  assign M_AXI_awprot[2:0] = m00_couplers_to_m00_couplers_AWPROT;
  assign M_AXI_awvalid = m00_couplers_to_m00_couplers_AWVALID;
  assign M_AXI_bready = m00_couplers_to_m00_couplers_BREADY;
  assign M_AXI_rready = m00_couplers_to_m00_couplers_RREADY;
饶先宏's avatar
饶先宏 已提交
126 127
  assign M_AXI_wdata[31:0] = m00_couplers_to_m00_couplers_WDATA;
  assign M_AXI_wstrb[3:0] = m00_couplers_to_m00_couplers_WSTRB;
饶先宏's avatar
饶先宏 已提交
128 129 130
  assign M_AXI_wvalid = m00_couplers_to_m00_couplers_WVALID;
  assign S_AXI_arready = m00_couplers_to_m00_couplers_ARREADY;
  assign S_AXI_awready = m00_couplers_to_m00_couplers_AWREADY;
饶先宏's avatar
饶先宏 已提交
131
  assign S_AXI_bresp[1:0] = m00_couplers_to_m00_couplers_BRESP;
饶先宏's avatar
饶先宏 已提交
132
  assign S_AXI_bvalid = m00_couplers_to_m00_couplers_BVALID;
饶先宏's avatar
饶先宏 已提交
133 134
  assign S_AXI_rdata[31:0] = m00_couplers_to_m00_couplers_RDATA;
  assign S_AXI_rresp[1:0] = m00_couplers_to_m00_couplers_RRESP;
饶先宏's avatar
饶先宏 已提交
135 136
  assign S_AXI_rvalid = m00_couplers_to_m00_couplers_RVALID;
  assign S_AXI_wready = m00_couplers_to_m00_couplers_WREADY;
饶先宏's avatar
饶先宏 已提交
137
  assign m00_couplers_to_m00_couplers_ARADDR = S_AXI_araddr[31:0];
饶先宏's avatar
饶先宏 已提交
138 139 140
  assign m00_couplers_to_m00_couplers_ARPROT = S_AXI_arprot[2:0];
  assign m00_couplers_to_m00_couplers_ARREADY = M_AXI_arready;
  assign m00_couplers_to_m00_couplers_ARVALID = S_AXI_arvalid;
饶先宏's avatar
饶先宏 已提交
141
  assign m00_couplers_to_m00_couplers_AWADDR = S_AXI_awaddr[31:0];
饶先宏's avatar
饶先宏 已提交
142 143 144 145
  assign m00_couplers_to_m00_couplers_AWPROT = S_AXI_awprot[2:0];
  assign m00_couplers_to_m00_couplers_AWREADY = M_AXI_awready;
  assign m00_couplers_to_m00_couplers_AWVALID = S_AXI_awvalid;
  assign m00_couplers_to_m00_couplers_BREADY = S_AXI_bready;
饶先宏's avatar
饶先宏 已提交
146
  assign m00_couplers_to_m00_couplers_BRESP = M_AXI_bresp[1:0];
饶先宏's avatar
饶先宏 已提交
147
  assign m00_couplers_to_m00_couplers_BVALID = M_AXI_bvalid;
饶先宏's avatar
饶先宏 已提交
148
  assign m00_couplers_to_m00_couplers_RDATA = M_AXI_rdata[31:0];
饶先宏's avatar
饶先宏 已提交
149
  assign m00_couplers_to_m00_couplers_RREADY = S_AXI_rready;
饶先宏's avatar
饶先宏 已提交
150
  assign m00_couplers_to_m00_couplers_RRESP = M_AXI_rresp[1:0];
饶先宏's avatar
饶先宏 已提交
151
  assign m00_couplers_to_m00_couplers_RVALID = M_AXI_rvalid;
饶先宏's avatar
饶先宏 已提交
152
  assign m00_couplers_to_m00_couplers_WDATA = S_AXI_wdata[31:0];
饶先宏's avatar
饶先宏 已提交
153
  assign m00_couplers_to_m00_couplers_WREADY = M_AXI_wready;
饶先宏's avatar
饶先宏 已提交
154
  assign m00_couplers_to_m00_couplers_WSTRB = S_AXI_wstrb[3:0];
饶先宏's avatar
饶先宏 已提交
155
  assign m00_couplers_to_m00_couplers_WVALID = S_AXI_wvalid;
饶先宏's avatar
饶先宏 已提交
156 157 158 159 160 161 162 163 164 165 166 167 168 169 170 171 172 173 174 175 176 177 178 179 180 181 182 183 184 185 186 187 188 189 190 191 192 193 194 195 196 197 198 199 200 201 202 203 204 205 206 207 208 209 210 211 212 213 214 215 216 217 218 219 220 221 222 223 224 225 226 227 228 229 230 231 232 233 234 235 236 237 238 239 240 241 242 243 244 245 246 247 248 249 250 251 252 253 254 255 256 257 258 259 260 261 262 263 264 265 266 267 268 269 270 271 272 273 274 275 276 277 278 279 280 281 282 283 284 285 286 287 288 289 290 291 292 293 294 295 296 297 298 299 300 301 302 303
endmodule

module m01_couplers_imp_15DQFTV
   (M_ACLK,
    M_ARESETN,
    M_AXI_araddr,
    M_AXI_arprot,
    M_AXI_arready,
    M_AXI_arvalid,
    M_AXI_awaddr,
    M_AXI_awprot,
    M_AXI_awready,
    M_AXI_awvalid,
    M_AXI_bready,
    M_AXI_bresp,
    M_AXI_bvalid,
    M_AXI_rdata,
    M_AXI_rready,
    M_AXI_rresp,
    M_AXI_rvalid,
    M_AXI_wdata,
    M_AXI_wready,
    M_AXI_wstrb,
    M_AXI_wvalid,
    S_ACLK,
    S_ARESETN,
    S_AXI_araddr,
    S_AXI_arprot,
    S_AXI_arready,
    S_AXI_arvalid,
    S_AXI_awaddr,
    S_AXI_awprot,
    S_AXI_awready,
    S_AXI_awvalid,
    S_AXI_bready,
    S_AXI_bresp,
    S_AXI_bvalid,
    S_AXI_rdata,
    S_AXI_rready,
    S_AXI_rresp,
    S_AXI_rvalid,
    S_AXI_wdata,
    S_AXI_wready,
    S_AXI_wstrb,
    S_AXI_wvalid);
  input M_ACLK;
  input M_ARESETN;
  output [31:0]M_AXI_araddr;
  output [2:0]M_AXI_arprot;
  input M_AXI_arready;
  output M_AXI_arvalid;
  output [31:0]M_AXI_awaddr;
  output [2:0]M_AXI_awprot;
  input M_AXI_awready;
  output M_AXI_awvalid;
  output M_AXI_bready;
  input [1:0]M_AXI_bresp;
  input M_AXI_bvalid;
  input [31:0]M_AXI_rdata;
  output M_AXI_rready;
  input [1:0]M_AXI_rresp;
  input M_AXI_rvalid;
  output [31:0]M_AXI_wdata;
  input M_AXI_wready;
  output [3:0]M_AXI_wstrb;
  output M_AXI_wvalid;
  input S_ACLK;
  input S_ARESETN;
  input [31:0]S_AXI_araddr;
  input [2:0]S_AXI_arprot;
  output S_AXI_arready;
  input S_AXI_arvalid;
  input [31:0]S_AXI_awaddr;
  input [2:0]S_AXI_awprot;
  output S_AXI_awready;
  input S_AXI_awvalid;
  input S_AXI_bready;
  output [1:0]S_AXI_bresp;
  output S_AXI_bvalid;
  output [31:0]S_AXI_rdata;
  input S_AXI_rready;
  output [1:0]S_AXI_rresp;
  output S_AXI_rvalid;
  input [31:0]S_AXI_wdata;
  output S_AXI_wready;
  input [3:0]S_AXI_wstrb;
  input S_AXI_wvalid;

  wire [31:0]m01_couplers_to_m01_couplers_ARADDR;
  wire [2:0]m01_couplers_to_m01_couplers_ARPROT;
  wire m01_couplers_to_m01_couplers_ARREADY;
  wire m01_couplers_to_m01_couplers_ARVALID;
  wire [31:0]m01_couplers_to_m01_couplers_AWADDR;
  wire [2:0]m01_couplers_to_m01_couplers_AWPROT;
  wire m01_couplers_to_m01_couplers_AWREADY;
  wire m01_couplers_to_m01_couplers_AWVALID;
  wire m01_couplers_to_m01_couplers_BREADY;
  wire [1:0]m01_couplers_to_m01_couplers_BRESP;
  wire m01_couplers_to_m01_couplers_BVALID;
  wire [31:0]m01_couplers_to_m01_couplers_RDATA;
  wire m01_couplers_to_m01_couplers_RREADY;
  wire [1:0]m01_couplers_to_m01_couplers_RRESP;
  wire m01_couplers_to_m01_couplers_RVALID;
  wire [31:0]m01_couplers_to_m01_couplers_WDATA;
  wire m01_couplers_to_m01_couplers_WREADY;
  wire [3:0]m01_couplers_to_m01_couplers_WSTRB;
  wire m01_couplers_to_m01_couplers_WVALID;

  assign M_AXI_araddr[31:0] = m01_couplers_to_m01_couplers_ARADDR;
  assign M_AXI_arprot[2:0] = m01_couplers_to_m01_couplers_ARPROT;
  assign M_AXI_arvalid = m01_couplers_to_m01_couplers_ARVALID;
  assign M_AXI_awaddr[31:0] = m01_couplers_to_m01_couplers_AWADDR;
  assign M_AXI_awprot[2:0] = m01_couplers_to_m01_couplers_AWPROT;
  assign M_AXI_awvalid = m01_couplers_to_m01_couplers_AWVALID;
  assign M_AXI_bready = m01_couplers_to_m01_couplers_BREADY;
  assign M_AXI_rready = m01_couplers_to_m01_couplers_RREADY;
  assign M_AXI_wdata[31:0] = m01_couplers_to_m01_couplers_WDATA;
  assign M_AXI_wstrb[3:0] = m01_couplers_to_m01_couplers_WSTRB;
  assign M_AXI_wvalid = m01_couplers_to_m01_couplers_WVALID;
  assign S_AXI_arready = m01_couplers_to_m01_couplers_ARREADY;
  assign S_AXI_awready = m01_couplers_to_m01_couplers_AWREADY;
  assign S_AXI_bresp[1:0] = m01_couplers_to_m01_couplers_BRESP;
  assign S_AXI_bvalid = m01_couplers_to_m01_couplers_BVALID;
  assign S_AXI_rdata[31:0] = m01_couplers_to_m01_couplers_RDATA;
  assign S_AXI_rresp[1:0] = m01_couplers_to_m01_couplers_RRESP;
  assign S_AXI_rvalid = m01_couplers_to_m01_couplers_RVALID;
  assign S_AXI_wready = m01_couplers_to_m01_couplers_WREADY;
  assign m01_couplers_to_m01_couplers_ARADDR = S_AXI_araddr[31:0];
  assign m01_couplers_to_m01_couplers_ARPROT = S_AXI_arprot[2:0];
  assign m01_couplers_to_m01_couplers_ARREADY = M_AXI_arready;
  assign m01_couplers_to_m01_couplers_ARVALID = S_AXI_arvalid;
  assign m01_couplers_to_m01_couplers_AWADDR = S_AXI_awaddr[31:0];
  assign m01_couplers_to_m01_couplers_AWPROT = S_AXI_awprot[2:0];
  assign m01_couplers_to_m01_couplers_AWREADY = M_AXI_awready;
  assign m01_couplers_to_m01_couplers_AWVALID = S_AXI_awvalid;
  assign m01_couplers_to_m01_couplers_BREADY = S_AXI_bready;
  assign m01_couplers_to_m01_couplers_BRESP = M_AXI_bresp[1:0];
  assign m01_couplers_to_m01_couplers_BVALID = M_AXI_bvalid;
  assign m01_couplers_to_m01_couplers_RDATA = M_AXI_rdata[31:0];
  assign m01_couplers_to_m01_couplers_RREADY = S_AXI_rready;
  assign m01_couplers_to_m01_couplers_RRESP = M_AXI_rresp[1:0];
  assign m01_couplers_to_m01_couplers_RVALID = M_AXI_rvalid;
  assign m01_couplers_to_m01_couplers_WDATA = S_AXI_wdata[31:0];
  assign m01_couplers_to_m01_couplers_WREADY = M_AXI_wready;
  assign m01_couplers_to_m01_couplers_WSTRB = S_AXI_wstrb[3:0];
  assign m01_couplers_to_m01_couplers_WVALID = S_AXI_wvalid;
endmodule

饶先宏's avatar
饶先宏 已提交
304
(* CORE_GENERATION_INFO = "risc_axi_v5_top,IP_Integrator,{x_ipVendor=xilinx.com,x_ipLibrary=BlockDiagram,x_ipName=risc_axi_v5_top,x_ipVersion=1.00.a,x_ipLanguage=VERILOG,numBlks=9,numReposBlks=5,numNonXlnxBlks=0,numHierBlks=4,maxHierDepth=0,numSysgenBlks=0,numHlsBlks=0,numHdlrefBlks=3,numPkgbdBlks=0,bdsource=USER,da_axi4_cnt=4,da_board_cnt=1,synth_mode=Global}" *) (* HW_HANDOFF = "risc_axi_v5_top.hwdef" *) 
饶先宏's avatar
饶先宏 已提交
305 306 307 308 309 310 311 312 313 314 315 316 317 318
module risc_axi_v5_top
   (key,
    led,
    nwReset,
    uart_rx,
    uart_tx,
    wClk);
  (* X_INTERFACE_INFO = "xilinx.com:signal:data:1.0 DATA.KEY DATA" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME DATA.KEY, LAYERED_METADATA undef" *) input [2:0]key;
  (* X_INTERFACE_INFO = "xilinx.com:signal:data:1.0 DATA.LED DATA" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME DATA.LED, LAYERED_METADATA undef" *) output [3:0]led;
  (* X_INTERFACE_INFO = "xilinx.com:signal:reset:1.0 RST.NWRESET RST" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME RST.NWRESET, INSERT_VIP 0, POLARITY ACTIVE_LOW" *) input nwReset;
  (* X_INTERFACE_INFO = "xilinx.com:signal:data:1.0 DATA.UART_RX DATA" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME DATA.UART_RX, LAYERED_METADATA undef" *) input uart_rx;
  (* X_INTERFACE_INFO = "xilinx.com:signal:data:1.0 DATA.UART_TX DATA" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME DATA.UART_TX, LAYERED_METADATA undef" *) output uart_tx;
  (* X_INTERFACE_INFO = "xilinx.com:signal:clock:1.0 CLK.WCLK CLK" *) (* X_INTERFACE_PARAMETER = "XIL_INTERFACENAME CLK.WCLK, CLK_DOMAIN risc_axi_v5_top_wClk, FREQ_HZ 50000000, FREQ_TOLERANCE_HZ 0, INSERT_VIP 0, PHASE 0.0" *) input wClk;

饶先宏's avatar
饶先宏 已提交
319
  wire hdl4se_uart_ctrl_axi_0_uart_tx;
饶先宏's avatar
饶先宏 已提交
320 321 322 323
  wire [2:0]key_1;
  wire [3:0]led_key_0_led;
  wire nwReset_1;
  wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR;
饶先宏's avatar
饶先宏 已提交
324
  wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT;
饶先宏's avatar
饶先宏 已提交
325
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY;
饶先宏's avatar
饶先宏 已提交
326
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID;
饶先宏's avatar
饶先宏 已提交
327
  wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR;
饶先宏's avatar
饶先宏 已提交
328
  wire [2:0]riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT;
饶先宏's avatar
饶先宏 已提交
329
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY;
饶先宏's avatar
饶先宏 已提交
330 331
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID;
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY;
饶先宏's avatar
饶先宏 已提交
332 333 334
  wire [1:0]riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP;
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID;
  wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA;
饶先宏's avatar
饶先宏 已提交
335
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY;
饶先宏's avatar
饶先宏 已提交
336 337 338 339 340
  wire [1:0]riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP;
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID;
  wire [31:0]riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA;
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY;
  wire [3:0]riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB;
饶先宏's avatar
饶先宏 已提交
341
  wire riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID;
饶先宏's avatar
饶先宏 已提交
342 343 344 345 346 347 348 349 350 351 352 353 354 355 356 357 358 359 360 361 362 363 364 365 366 367 368 369 370 371 372 373 374 375 376 377 378 379 380 381 382 383 384 385 386 387
  wire [31:0]riscv_core_with_axi_0_axi_periph_M01_AXI_ARADDR;
  wire [2:0]riscv_core_with_axi_0_axi_periph_M01_AXI_ARPROT;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_ARREADY;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_ARVALID;
  wire [31:0]riscv_core_with_axi_0_axi_periph_M01_AXI_AWADDR;
  wire [2:0]riscv_core_with_axi_0_axi_periph_M01_AXI_AWPROT;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_AWREADY;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_AWVALID;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_BREADY;
  wire [1:0]riscv_core_with_axi_0_axi_periph_M01_AXI_BRESP;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_BVALID;
  wire [31:0]riscv_core_with_axi_0_axi_periph_M01_AXI_RDATA;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_RREADY;
  wire [1:0]riscv_core_with_axi_0_axi_periph_M01_AXI_RRESP;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_RVALID;
  wire [31:0]riscv_core_with_axi_0_axi_periph_M01_AXI_WDATA;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_WREADY;
  wire [3:0]riscv_core_with_axi_0_axi_periph_M01_AXI_WSTRB;
  wire riscv_core_with_axi_0_axi_periph_M01_AXI_WVALID;
  wire [31:0]riscv_core_with_axi_0_m00_axi_ARADDR;
  wire [2:0]riscv_core_with_axi_0_m00_axi_ARPROT;
  wire riscv_core_with_axi_0_m00_axi_ARREADY;
  wire riscv_core_with_axi_0_m00_axi_ARVALID;
  wire [31:0]riscv_core_with_axi_0_m00_axi_AWADDR;
  wire [2:0]riscv_core_with_axi_0_m00_axi_AWPROT;
  wire riscv_core_with_axi_0_m00_axi_AWREADY;
  wire riscv_core_with_axi_0_m00_axi_AWVALID;
  wire riscv_core_with_axi_0_m00_axi_BREADY;
  wire [1:0]riscv_core_with_axi_0_m00_axi_BRESP;
  wire riscv_core_with_axi_0_m00_axi_BVALID;
  wire [31:0]riscv_core_with_axi_0_m00_axi_RDATA;
  wire riscv_core_with_axi_0_m00_axi_RREADY;
  wire [1:0]riscv_core_with_axi_0_m00_axi_RRESP;
  wire riscv_core_with_axi_0_m00_axi_RVALID;
  wire [31:0]riscv_core_with_axi_0_m00_axi_WDATA;
  wire riscv_core_with_axi_0_m00_axi_WREADY;
  wire [3:0]riscv_core_with_axi_0_m00_axi_WSTRB;
  wire riscv_core_with_axi_0_m00_axi_WVALID;
  wire [0:0]rst_wClk_50M_peripheral_aresetn;
  wire uart_rx_1;
  wire wClk_1;

  assign key_1 = key[2:0];
  assign led[3:0] = led_key_0_led;
  assign nwReset_1 = nwReset;
  assign uart_rx_1 = uart_rx;
饶先宏's avatar
饶先宏 已提交
388
  assign uart_tx = hdl4se_uart_ctrl_axi_0_uart_tx;
饶先宏's avatar
饶先宏 已提交
389
  assign wClk_1 = wClk;
饶先宏's avatar
饶先宏 已提交
390 391 392 393 394 395 396 397 398 399 400 401 402 403 404 405 406 407 408 409 410 411 412 413
  risc_axi_v5_top_hdl4se_uart_ctrl_axi_0_0 hdl4se_uart_ctrl_axi_0
       (.s00_axi_aclk(wClk_1),
        .s00_axi_araddr(riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR[3:0]),
        .s00_axi_aresetn(rst_wClk_50M_peripheral_aresetn),
        .s00_axi_arprot(riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT),
        .s00_axi_arready(riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY),
        .s00_axi_arvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID),
        .s00_axi_awaddr(riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR[3:0]),
        .s00_axi_awprot(riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT),
        .s00_axi_awready(riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY),
        .s00_axi_awvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID),
        .s00_axi_bready(riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY),
        .s00_axi_bresp(riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP),
        .s00_axi_bvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID),
        .s00_axi_rdata(riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA),
        .s00_axi_rready(riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY),
        .s00_axi_rresp(riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP),
        .s00_axi_rvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID),
        .s00_axi_wdata(riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA),
        .s00_axi_wready(riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY),
        .s00_axi_wstrb(riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB),
        .s00_axi_wvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID),
        .uart_rx(uart_rx_1),
        .uart_tx(hdl4se_uart_ctrl_axi_0_uart_tx));
饶先宏's avatar
饶先宏 已提交
414 415 416 417 418 419 420 421 422 423 424 425 426 427 428 429 430 431 432 433 434 435 436 437 438 439 440 441 442 443 444 445 446 447 448 449 450 451 452 453 454 455 456 457 458 459 460 461 462 463 464 465
  risc_axi_v5_top_led_key_0_0 led_key_0
       (.key(key_1),
        .led(led_key_0_led),
        .s00_axi_aclk(wClk_1),
        .s00_axi_araddr(riscv_core_with_axi_0_axi_periph_M01_AXI_ARADDR[3:0]),
        .s00_axi_aresetn(rst_wClk_50M_peripheral_aresetn),
        .s00_axi_arprot(riscv_core_with_axi_0_axi_periph_M01_AXI_ARPROT),
        .s00_axi_arready(riscv_core_with_axi_0_axi_periph_M01_AXI_ARREADY),
        .s00_axi_arvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_ARVALID),
        .s00_axi_awaddr(riscv_core_with_axi_0_axi_periph_M01_AXI_AWADDR[3:0]),
        .s00_axi_awprot(riscv_core_with_axi_0_axi_periph_M01_AXI_AWPROT),
        .s00_axi_awready(riscv_core_with_axi_0_axi_periph_M01_AXI_AWREADY),
        .s00_axi_awvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_AWVALID),
        .s00_axi_bready(riscv_core_with_axi_0_axi_periph_M01_AXI_BREADY),
        .s00_axi_bresp(riscv_core_with_axi_0_axi_periph_M01_AXI_BRESP),
        .s00_axi_bvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_BVALID),
        .s00_axi_rdata(riscv_core_with_axi_0_axi_periph_M01_AXI_RDATA),
        .s00_axi_rready(riscv_core_with_axi_0_axi_periph_M01_AXI_RREADY),
        .s00_axi_rresp(riscv_core_with_axi_0_axi_periph_M01_AXI_RRESP),
        .s00_axi_rvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_RVALID),
        .s00_axi_wdata(riscv_core_with_axi_0_axi_periph_M01_AXI_WDATA),
        .s00_axi_wready(riscv_core_with_axi_0_axi_periph_M01_AXI_WREADY),
        .s00_axi_wstrb(riscv_core_with_axi_0_axi_periph_M01_AXI_WSTRB),
        .s00_axi_wvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_WVALID));
  risc_axi_v5_top_riscv_core_with_axi_0_0 riscv_core_with_axi_0
       (.m00_axi_aclk(wClk_1),
        .m00_axi_araddr(riscv_core_with_axi_0_m00_axi_ARADDR),
        .m00_axi_aresetn(rst_wClk_50M_peripheral_aresetn),
        .m00_axi_arprot(riscv_core_with_axi_0_m00_axi_ARPROT),
        .m00_axi_arready(riscv_core_with_axi_0_m00_axi_ARREADY),
        .m00_axi_arvalid(riscv_core_with_axi_0_m00_axi_ARVALID),
        .m00_axi_awaddr(riscv_core_with_axi_0_m00_axi_AWADDR),
        .m00_axi_awprot(riscv_core_with_axi_0_m00_axi_AWPROT),
        .m00_axi_awready(riscv_core_with_axi_0_m00_axi_AWREADY),
        .m00_axi_awvalid(riscv_core_with_axi_0_m00_axi_AWVALID),
        .m00_axi_bready(riscv_core_with_axi_0_m00_axi_BREADY),
        .m00_axi_bresp(riscv_core_with_axi_0_m00_axi_BRESP),
        .m00_axi_bvalid(riscv_core_with_axi_0_m00_axi_BVALID),
        .m00_axi_rdata(riscv_core_with_axi_0_m00_axi_RDATA),
        .m00_axi_rready(riscv_core_with_axi_0_m00_axi_RREADY),
        .m00_axi_rresp(riscv_core_with_axi_0_m00_axi_RRESP),
        .m00_axi_rvalid(riscv_core_with_axi_0_m00_axi_RVALID),
        .m00_axi_wdata(riscv_core_with_axi_0_m00_axi_WDATA),
        .m00_axi_wready(riscv_core_with_axi_0_m00_axi_WREADY),
        .m00_axi_wstrb(riscv_core_with_axi_0_m00_axi_WSTRB),
        .m00_axi_wvalid(riscv_core_with_axi_0_m00_axi_WVALID));
  risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0 riscv_core_with_axi_0_axi_periph
       (.ACLK(wClk_1),
        .ARESETN(rst_wClk_50M_peripheral_aresetn),
        .M00_ACLK(wClk_1),
        .M00_ARESETN(rst_wClk_50M_peripheral_aresetn),
        .M00_AXI_araddr(riscv_core_with_axi_0_axi_periph_M00_AXI_ARADDR),
饶先宏's avatar
饶先宏 已提交
466
        .M00_AXI_arprot(riscv_core_with_axi_0_axi_periph_M00_AXI_ARPROT),
饶先宏's avatar
饶先宏 已提交
467 468 469
        .M00_AXI_arready(riscv_core_with_axi_0_axi_periph_M00_AXI_ARREADY),
        .M00_AXI_arvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_ARVALID),
        .M00_AXI_awaddr(riscv_core_with_axi_0_axi_periph_M00_AXI_AWADDR),
饶先宏's avatar
饶先宏 已提交
470
        .M00_AXI_awprot(riscv_core_with_axi_0_axi_periph_M00_AXI_AWPROT),
饶先宏's avatar
饶先宏 已提交
471 472 473 474 475 476 477 478 479 480 481 482 483 484 485 486 487 488 489 490 491 492 493 494 495 496 497 498 499 500 501 502 503 504 505 506 507 508 509 510 511 512 513 514 515 516 517 518 519 520 521 522 523 524 525 526 527 528 529 530 531 532 533 534 535 536 537 538 539 540
        .M00_AXI_awready(riscv_core_with_axi_0_axi_periph_M00_AXI_AWREADY),
        .M00_AXI_awvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_AWVALID),
        .M00_AXI_bready(riscv_core_with_axi_0_axi_periph_M00_AXI_BREADY),
        .M00_AXI_bresp(riscv_core_with_axi_0_axi_periph_M00_AXI_BRESP),
        .M00_AXI_bvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_BVALID),
        .M00_AXI_rdata(riscv_core_with_axi_0_axi_periph_M00_AXI_RDATA),
        .M00_AXI_rready(riscv_core_with_axi_0_axi_periph_M00_AXI_RREADY),
        .M00_AXI_rresp(riscv_core_with_axi_0_axi_periph_M00_AXI_RRESP),
        .M00_AXI_rvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_RVALID),
        .M00_AXI_wdata(riscv_core_with_axi_0_axi_periph_M00_AXI_WDATA),
        .M00_AXI_wready(riscv_core_with_axi_0_axi_periph_M00_AXI_WREADY),
        .M00_AXI_wstrb(riscv_core_with_axi_0_axi_periph_M00_AXI_WSTRB),
        .M00_AXI_wvalid(riscv_core_with_axi_0_axi_periph_M00_AXI_WVALID),
        .M01_ACLK(wClk_1),
        .M01_ARESETN(rst_wClk_50M_peripheral_aresetn),
        .M01_AXI_araddr(riscv_core_with_axi_0_axi_periph_M01_AXI_ARADDR),
        .M01_AXI_arprot(riscv_core_with_axi_0_axi_periph_M01_AXI_ARPROT),
        .M01_AXI_arready(riscv_core_with_axi_0_axi_periph_M01_AXI_ARREADY),
        .M01_AXI_arvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_ARVALID),
        .M01_AXI_awaddr(riscv_core_with_axi_0_axi_periph_M01_AXI_AWADDR),
        .M01_AXI_awprot(riscv_core_with_axi_0_axi_periph_M01_AXI_AWPROT),
        .M01_AXI_awready(riscv_core_with_axi_0_axi_periph_M01_AXI_AWREADY),
        .M01_AXI_awvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_AWVALID),
        .M01_AXI_bready(riscv_core_with_axi_0_axi_periph_M01_AXI_BREADY),
        .M01_AXI_bresp(riscv_core_with_axi_0_axi_periph_M01_AXI_BRESP),
        .M01_AXI_bvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_BVALID),
        .M01_AXI_rdata(riscv_core_with_axi_0_axi_periph_M01_AXI_RDATA),
        .M01_AXI_rready(riscv_core_with_axi_0_axi_periph_M01_AXI_RREADY),
        .M01_AXI_rresp(riscv_core_with_axi_0_axi_periph_M01_AXI_RRESP),
        .M01_AXI_rvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_RVALID),
        .M01_AXI_wdata(riscv_core_with_axi_0_axi_periph_M01_AXI_WDATA),
        .M01_AXI_wready(riscv_core_with_axi_0_axi_periph_M01_AXI_WREADY),
        .M01_AXI_wstrb(riscv_core_with_axi_0_axi_periph_M01_AXI_WSTRB),
        .M01_AXI_wvalid(riscv_core_with_axi_0_axi_periph_M01_AXI_WVALID),
        .S00_ACLK(wClk_1),
        .S00_ARESETN(rst_wClk_50M_peripheral_aresetn),
        .S00_AXI_araddr(riscv_core_with_axi_0_m00_axi_ARADDR),
        .S00_AXI_arprot(riscv_core_with_axi_0_m00_axi_ARPROT),
        .S00_AXI_arready(riscv_core_with_axi_0_m00_axi_ARREADY),
        .S00_AXI_arvalid(riscv_core_with_axi_0_m00_axi_ARVALID),
        .S00_AXI_awaddr(riscv_core_with_axi_0_m00_axi_AWADDR),
        .S00_AXI_awprot(riscv_core_with_axi_0_m00_axi_AWPROT),
        .S00_AXI_awready(riscv_core_with_axi_0_m00_axi_AWREADY),
        .S00_AXI_awvalid(riscv_core_with_axi_0_m00_axi_AWVALID),
        .S00_AXI_bready(riscv_core_with_axi_0_m00_axi_BREADY),
        .S00_AXI_bresp(riscv_core_with_axi_0_m00_axi_BRESP),
        .S00_AXI_bvalid(riscv_core_with_axi_0_m00_axi_BVALID),
        .S00_AXI_rdata(riscv_core_with_axi_0_m00_axi_RDATA),
        .S00_AXI_rready(riscv_core_with_axi_0_m00_axi_RREADY),
        .S00_AXI_rresp(riscv_core_with_axi_0_m00_axi_RRESP),
        .S00_AXI_rvalid(riscv_core_with_axi_0_m00_axi_RVALID),
        .S00_AXI_wdata(riscv_core_with_axi_0_m00_axi_WDATA),
        .S00_AXI_wready(riscv_core_with_axi_0_m00_axi_WREADY),
        .S00_AXI_wstrb(riscv_core_with_axi_0_m00_axi_WSTRB),
        .S00_AXI_wvalid(riscv_core_with_axi_0_m00_axi_WVALID));
  risc_axi_v5_top_rst_wClk_50M_0 rst_wClk_50M
       (.aux_reset_in(1'b1),
        .dcm_locked(1'b1),
        .ext_reset_in(nwReset_1),
        .mb_debug_sys_rst(1'b0),
        .peripheral_aresetn(rst_wClk_50M_peripheral_aresetn),
        .slowest_sync_clk(wClk_1));
endmodule

module risc_axi_v5_top_riscv_core_with_axi_0_axi_periph_0
   (ACLK,
    ARESETN,
    M00_ACLK,
    M00_ARESETN,
    M00_AXI_araddr,
饶先宏's avatar
饶先宏 已提交
541
    M00_AXI_arprot,
饶先宏's avatar
饶先宏 已提交
542 543 544
    M00_AXI_arready,
    M00_AXI_arvalid,
    M00_AXI_awaddr,
饶先宏's avatar
饶先宏 已提交
545
    M00_AXI_awprot,
饶先宏's avatar
饶先宏 已提交
546 547 548 549 550 551 552 553 554 555 556 557 558 559 560 561 562 563 564 565 566 567 568 569 570 571 572 573 574 575 576 577 578 579 580 581 582 583 584 585 586 587 588 589 590 591 592 593 594 595 596 597 598 599 600 601 602 603 604 605
    M00_AXI_awready,
    M00_AXI_awvalid,
    M00_AXI_bready,
    M00_AXI_bresp,
    M00_AXI_bvalid,
    M00_AXI_rdata,
    M00_AXI_rready,
    M00_AXI_rresp,
    M00_AXI_rvalid,
    M00_AXI_wdata,
    M00_AXI_wready,
    M00_AXI_wstrb,
    M00_AXI_wvalid,
    M01_ACLK,
    M01_ARESETN,
    M01_AXI_araddr,
    M01_AXI_arprot,
    M01_AXI_arready,
    M01_AXI_arvalid,
    M01_AXI_awaddr,
    M01_AXI_awprot,
    M01_AXI_awready,
    M01_AXI_awvalid,
    M01_AXI_bready,
    M01_AXI_bresp,
    M01_AXI_bvalid,
    M01_AXI_rdata,
    M01_AXI_rready,
    M01_AXI_rresp,
    M01_AXI_rvalid,
    M01_AXI_wdata,
    M01_AXI_wready,
    M01_AXI_wstrb,
    M01_AXI_wvalid,
    S00_ACLK,
    S00_ARESETN,
    S00_AXI_araddr,
    S00_AXI_arprot,
    S00_AXI_arready,
    S00_AXI_arvalid,
    S00_AXI_awaddr,
    S00_AXI_awprot,
    S00_AXI_awready,
    S00_AXI_awvalid,
    S00_AXI_bready,
    S00_AXI_bresp,
    S00_AXI_bvalid,
    S00_AXI_rdata,
    S00_AXI_rready,
    S00_AXI_rresp,
    S00_AXI_rvalid,
    S00_AXI_wdata,
    S00_AXI_wready,
    S00_AXI_wstrb,
    S00_AXI_wvalid);
  input ACLK;
  input ARESETN;
  input M00_ACLK;
  input M00_ARESETN;
  output [31:0]M00_AXI_araddr;
饶先宏's avatar
饶先宏 已提交
606
  output [2:0]M00_AXI_arprot;
饶先宏's avatar
饶先宏 已提交
607 608
  input M00_AXI_arready;
  output M00_AXI_arvalid;
饶先宏's avatar
饶先宏 已提交
609
  output [31:0]M00_AXI_awaddr;
饶先宏's avatar
饶先宏 已提交
610
  output [2:0]M00_AXI_awprot;
饶先宏's avatar
饶先宏 已提交
611 612 613
  input M00_AXI_awready;
  output M00_AXI_awvalid;
  output M00_AXI_bready;
饶先宏's avatar
饶先宏 已提交
614
  input [1:0]M00_AXI_bresp;
饶先宏's avatar
饶先宏 已提交
615
  input M00_AXI_bvalid;
饶先宏's avatar
饶先宏 已提交
616
  input [31:0]M00_AXI_rdata;
饶先宏's avatar
饶先宏 已提交
617
  output M00_AXI_rready;
饶先宏's avatar
饶先宏 已提交
618
  input [1:0]M00_AXI_rresp;
饶先宏's avatar
饶先宏 已提交
619
  input M00_AXI_rvalid;
饶先宏's avatar
饶先宏 已提交
620
  output [31:0]M00_AXI_wdata;
饶先宏's avatar
饶先宏 已提交
621
  input M00_AXI_wready;
饶先宏's avatar
饶先宏 已提交
622
  output [3:0]M00_AXI_wstrb;
饶先宏's avatar
饶先宏 已提交
623
  output M00_AXI_wvalid;
饶先宏's avatar
饶先宏 已提交
624 625 626 627 628 629 630 631 632 633 634 635 636 637 638 639 640 641 642 643 644 645 646 647 648 649 650 651 652 653 654 655 656 657 658 659 660 661 662 663 664 665 666 667
  input M01_ACLK;
  input M01_ARESETN;
  output [31:0]M01_AXI_araddr;
  output [2:0]M01_AXI_arprot;
  input M01_AXI_arready;
  output M01_AXI_arvalid;
  output [31:0]M01_AXI_awaddr;
  output [2:0]M01_AXI_awprot;
  input M01_AXI_awready;
  output M01_AXI_awvalid;
  output M01_AXI_bready;
  input [1:0]M01_AXI_bresp;
  input M01_AXI_bvalid;
  input [31:0]M01_AXI_rdata;
  output M01_AXI_rready;
  input [1:0]M01_AXI_rresp;
  input M01_AXI_rvalid;
  output [31:0]M01_AXI_wdata;
  input M01_AXI_wready;
  output [3:0]M01_AXI_wstrb;
  output M01_AXI_wvalid;
  input S00_ACLK;
  input S00_ARESETN;
  input [31:0]S00_AXI_araddr;
  input [2:0]S00_AXI_arprot;
  output S00_AXI_arready;
  input S00_AXI_arvalid;
  input [31:0]S00_AXI_awaddr;
  input [2:0]S00_AXI_awprot;
  output S00_AXI_awready;
  input S00_AXI_awvalid;
  input S00_AXI_bready;
  output [1:0]S00_AXI_bresp;
  output S00_AXI_bvalid;
  output [31:0]S00_AXI_rdata;
  input S00_AXI_rready;
  output [1:0]S00_AXI_rresp;
  output S00_AXI_rvalid;
  input [31:0]S00_AXI_wdata;
  output S00_AXI_wready;
  input [3:0]S00_AXI_wstrb;
  input S00_AXI_wvalid;

  wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
饶先宏's avatar
饶先宏 已提交
668
  wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
饶先宏's avatar
饶先宏 已提交
669
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY;
饶先宏's avatar
饶先宏 已提交
670
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
饶先宏's avatar
饶先宏 已提交
671
  wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
饶先宏's avatar
饶先宏 已提交
672
  wire [2:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
饶先宏's avatar
饶先宏 已提交
673
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY;
饶先宏's avatar
饶先宏 已提交
674 675
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
饶先宏's avatar
饶先宏 已提交
676
  wire [1:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP;
饶先宏's avatar
饶先宏 已提交
677
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID;
饶先宏's avatar
饶先宏 已提交
678
  wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA;
饶先宏's avatar
饶先宏 已提交
679
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
饶先宏's avatar
饶先宏 已提交
680
  wire [1:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP;
饶先宏's avatar
饶先宏 已提交
681
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID;
饶先宏's avatar
饶先宏 已提交
682
  wire [31:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA;
饶先宏's avatar
饶先宏 已提交
683
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY;
饶先宏's avatar
饶先宏 已提交
684
  wire [3:0]m00_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB;
饶先宏's avatar
饶先宏 已提交
685
  wire m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
饶先宏's avatar
饶先宏 已提交
686 687 688 689 690 691 692 693 694 695 696 697 698 699 700 701 702 703 704 705 706 707 708 709 710 711 712 713 714 715 716 717 718 719 720 721 722 723 724 725 726 727 728 729 730 731 732 733 734 735 736 737 738 739 740 741 742 743 744 745
  wire [31:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
  wire [2:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
  wire [31:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
  wire [2:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
  wire [1:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID;
  wire [31:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
  wire [1:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID;
  wire [31:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY;
  wire [3:0]m01_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB;
  wire m01_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
  wire riscv_core_with_axi_0_axi_periph_ACLK_net;
  wire riscv_core_with_axi_0_axi_periph_ARESETN_net;
  wire [31:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARADDR;
  wire [2:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARPROT;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARREADY;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARVALID;
  wire [31:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWADDR;
  wire [2:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWPROT;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWREADY;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWVALID;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_BREADY;
  wire [1:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_BRESP;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_BVALID;
  wire [31:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_RDATA;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_RREADY;
  wire [1:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_RRESP;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_RVALID;
  wire [31:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_WDATA;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_WREADY;
  wire [3:0]riscv_core_with_axi_0_axi_periph_to_s00_couplers_WSTRB;
  wire riscv_core_with_axi_0_axi_periph_to_s00_couplers_WVALID;
  wire [31:0]s00_couplers_to_xbar_ARADDR;
  wire [2:0]s00_couplers_to_xbar_ARPROT;
  wire [0:0]s00_couplers_to_xbar_ARREADY;
  wire s00_couplers_to_xbar_ARVALID;
  wire [31:0]s00_couplers_to_xbar_AWADDR;
  wire [2:0]s00_couplers_to_xbar_AWPROT;
  wire [0:0]s00_couplers_to_xbar_AWREADY;
  wire s00_couplers_to_xbar_AWVALID;
  wire s00_couplers_to_xbar_BREADY;
  wire [1:0]s00_couplers_to_xbar_BRESP;
  wire [0:0]s00_couplers_to_xbar_BVALID;
  wire [31:0]s00_couplers_to_xbar_RDATA;
  wire s00_couplers_to_xbar_RREADY;
  wire [1:0]s00_couplers_to_xbar_RRESP;
  wire [0:0]s00_couplers_to_xbar_RVALID;
  wire [31:0]s00_couplers_to_xbar_WDATA;
  wire [0:0]s00_couplers_to_xbar_WREADY;
  wire [3:0]s00_couplers_to_xbar_WSTRB;
  wire s00_couplers_to_xbar_WVALID;
  wire [31:0]xbar_to_m00_couplers_ARADDR;
饶先宏's avatar
饶先宏 已提交
746 747
  wire [2:0]xbar_to_m00_couplers_ARPROT;
  wire xbar_to_m00_couplers_ARREADY;
饶先宏's avatar
饶先宏 已提交
748 749
  wire [0:0]xbar_to_m00_couplers_ARVALID;
  wire [31:0]xbar_to_m00_couplers_AWADDR;
饶先宏's avatar
饶先宏 已提交
750 751
  wire [2:0]xbar_to_m00_couplers_AWPROT;
  wire xbar_to_m00_couplers_AWREADY;
饶先宏's avatar
饶先宏 已提交
752 753 754
  wire [0:0]xbar_to_m00_couplers_AWVALID;
  wire [0:0]xbar_to_m00_couplers_BREADY;
  wire [1:0]xbar_to_m00_couplers_BRESP;
饶先宏's avatar
饶先宏 已提交
755
  wire xbar_to_m00_couplers_BVALID;
饶先宏's avatar
饶先宏 已提交
756 757 758
  wire [31:0]xbar_to_m00_couplers_RDATA;
  wire [0:0]xbar_to_m00_couplers_RREADY;
  wire [1:0]xbar_to_m00_couplers_RRESP;
饶先宏's avatar
饶先宏 已提交
759
  wire xbar_to_m00_couplers_RVALID;
饶先宏's avatar
饶先宏 已提交
760
  wire [31:0]xbar_to_m00_couplers_WDATA;
饶先宏's avatar
饶先宏 已提交
761
  wire xbar_to_m00_couplers_WREADY;
饶先宏's avatar
饶先宏 已提交
762 763 764 765 766 767 768 769 770 771 772 773 774 775 776 777 778 779 780 781 782 783 784
  wire [3:0]xbar_to_m00_couplers_WSTRB;
  wire [0:0]xbar_to_m00_couplers_WVALID;
  wire [63:32]xbar_to_m01_couplers_ARADDR;
  wire [5:3]xbar_to_m01_couplers_ARPROT;
  wire xbar_to_m01_couplers_ARREADY;
  wire [1:1]xbar_to_m01_couplers_ARVALID;
  wire [63:32]xbar_to_m01_couplers_AWADDR;
  wire [5:3]xbar_to_m01_couplers_AWPROT;
  wire xbar_to_m01_couplers_AWREADY;
  wire [1:1]xbar_to_m01_couplers_AWVALID;
  wire [1:1]xbar_to_m01_couplers_BREADY;
  wire [1:0]xbar_to_m01_couplers_BRESP;
  wire xbar_to_m01_couplers_BVALID;
  wire [31:0]xbar_to_m01_couplers_RDATA;
  wire [1:1]xbar_to_m01_couplers_RREADY;
  wire [1:0]xbar_to_m01_couplers_RRESP;
  wire xbar_to_m01_couplers_RVALID;
  wire [63:32]xbar_to_m01_couplers_WDATA;
  wire xbar_to_m01_couplers_WREADY;
  wire [7:4]xbar_to_m01_couplers_WSTRB;
  wire [1:1]xbar_to_m01_couplers_WVALID;

  assign M00_AXI_araddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
饶先宏's avatar
饶先宏 已提交
785
  assign M00_AXI_arprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
饶先宏's avatar
饶先宏 已提交
786
  assign M00_AXI_arvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
饶先宏's avatar
饶先宏 已提交
787
  assign M00_AXI_awaddr[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
饶先宏's avatar
饶先宏 已提交
788
  assign M00_AXI_awprot[2:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
饶先宏's avatar
饶先宏 已提交
789 790 791
  assign M00_AXI_awvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
  assign M00_AXI_bready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
  assign M00_AXI_rready = m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
饶先宏's avatar
饶先宏 已提交
792 793
  assign M00_AXI_wdata[31:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA;
  assign M00_AXI_wstrb[3:0] = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB;
饶先宏's avatar
饶先宏 已提交
794
  assign M00_AXI_wvalid = m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
饶先宏's avatar
饶先宏 已提交
795 796 797 798 799 800 801 802 803 804 805 806 807 808 809 810 811 812 813
  assign M01_AXI_araddr[31:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR;
  assign M01_AXI_arprot[2:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT;
  assign M01_AXI_arvalid = m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID;
  assign M01_AXI_awaddr[31:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR;
  assign M01_AXI_awprot[2:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT;
  assign M01_AXI_awvalid = m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID;
  assign M01_AXI_bready = m01_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY;
  assign M01_AXI_rready = m01_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY;
  assign M01_AXI_wdata[31:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA;
  assign M01_AXI_wstrb[3:0] = m01_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB;
  assign M01_AXI_wvalid = m01_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID;
  assign S00_AXI_arready = riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARREADY;
  assign S00_AXI_awready = riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWREADY;
  assign S00_AXI_bresp[1:0] = riscv_core_with_axi_0_axi_periph_to_s00_couplers_BRESP;
  assign S00_AXI_bvalid = riscv_core_with_axi_0_axi_periph_to_s00_couplers_BVALID;
  assign S00_AXI_rdata[31:0] = riscv_core_with_axi_0_axi_periph_to_s00_couplers_RDATA;
  assign S00_AXI_rresp[1:0] = riscv_core_with_axi_0_axi_periph_to_s00_couplers_RRESP;
  assign S00_AXI_rvalid = riscv_core_with_axi_0_axi_periph_to_s00_couplers_RVALID;
  assign S00_AXI_wready = riscv_core_with_axi_0_axi_periph_to_s00_couplers_WREADY;
饶先宏's avatar
饶先宏 已提交
814 815
  assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY = M00_AXI_arready;
  assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY = M00_AXI_awready;
饶先宏's avatar
饶先宏 已提交
816
  assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP = M00_AXI_bresp[1:0];
饶先宏's avatar
饶先宏 已提交
817
  assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID = M00_AXI_bvalid;
饶先宏's avatar
饶先宏 已提交
818 819
  assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA = M00_AXI_rdata[31:0];
  assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP = M00_AXI_rresp[1:0];
饶先宏's avatar
饶先宏 已提交
820 821
  assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID = M00_AXI_rvalid;
  assign m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY = M00_AXI_wready;
饶先宏's avatar
饶先宏 已提交
822 823 824 825 826 827 828 829 830 831 832 833 834 835 836 837 838 839 840 841 842 843 844 845 846
  assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY = M01_AXI_arready;
  assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY = M01_AXI_awready;
  assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP = M01_AXI_bresp[1:0];
  assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID = M01_AXI_bvalid;
  assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA = M01_AXI_rdata[31:0];
  assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP = M01_AXI_rresp[1:0];
  assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID = M01_AXI_rvalid;
  assign m01_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY = M01_AXI_wready;
  assign riscv_core_with_axi_0_axi_periph_ACLK_net = ACLK;
  assign riscv_core_with_axi_0_axi_periph_ARESETN_net = ARESETN;
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARADDR = S00_AXI_araddr[31:0];
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARPROT = S00_AXI_arprot[2:0];
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARVALID = S00_AXI_arvalid;
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWADDR = S00_AXI_awaddr[31:0];
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWPROT = S00_AXI_awprot[2:0];
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWVALID = S00_AXI_awvalid;
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_BREADY = S00_AXI_bready;
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_RREADY = S00_AXI_rready;
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_WDATA = S00_AXI_wdata[31:0];
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_WSTRB = S00_AXI_wstrb[3:0];
  assign riscv_core_with_axi_0_axi_periph_to_s00_couplers_WVALID = S00_AXI_wvalid;
  m00_couplers_imp_DIBHKD m00_couplers
       (.M_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
        .M_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
        .M_AXI_araddr(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR),
饶先宏's avatar
饶先宏 已提交
847
        .M_AXI_arprot(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT),
饶先宏's avatar
饶先宏 已提交
848 849 850
        .M_AXI_arready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY),
        .M_AXI_arvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID),
        .M_AXI_awaddr(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR),
饶先宏's avatar
饶先宏 已提交
851
        .M_AXI_awprot(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT),
饶先宏's avatar
饶先宏 已提交
852 853 854 855 856 857 858 859 860 861 862 863 864 865 866 867
        .M_AXI_awready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY),
        .M_AXI_awvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID),
        .M_AXI_bready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY),
        .M_AXI_bresp(m00_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP),
        .M_AXI_bvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID),
        .M_AXI_rdata(m00_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA),
        .M_AXI_rready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY),
        .M_AXI_rresp(m00_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP),
        .M_AXI_rvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID),
        .M_AXI_wdata(m00_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA),
        .M_AXI_wready(m00_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY),
        .M_AXI_wstrb(m00_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB),
        .M_AXI_wvalid(m00_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID),
        .S_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
        .S_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
        .S_AXI_araddr(xbar_to_m00_couplers_ARADDR),
饶先宏's avatar
饶先宏 已提交
868
        .S_AXI_arprot(xbar_to_m00_couplers_ARPROT),
饶先宏's avatar
饶先宏 已提交
869 870 871
        .S_AXI_arready(xbar_to_m00_couplers_ARREADY),
        .S_AXI_arvalid(xbar_to_m00_couplers_ARVALID),
        .S_AXI_awaddr(xbar_to_m00_couplers_AWADDR),
饶先宏's avatar
饶先宏 已提交
872
        .S_AXI_awprot(xbar_to_m00_couplers_AWPROT),
饶先宏's avatar
饶先宏 已提交
873 874 875 876 877 878 879 880 881 882 883 884 885 886 887 888 889 890 891 892 893 894 895 896 897 898 899 900 901 902 903 904 905 906 907 908 909 910 911 912 913 914 915 916 917 918 919 920 921 922 923 924 925 926 927 928 929 930 931 932 933 934 935 936 937 938 939 940 941 942 943 944 945 946 947 948 949 950 951 952 953 954 955 956 957 958 959 960 961 962 963 964 965 966 967 968 969 970 971 972 973 974 975
        .S_AXI_awready(xbar_to_m00_couplers_AWREADY),
        .S_AXI_awvalid(xbar_to_m00_couplers_AWVALID),
        .S_AXI_bready(xbar_to_m00_couplers_BREADY),
        .S_AXI_bresp(xbar_to_m00_couplers_BRESP),
        .S_AXI_bvalid(xbar_to_m00_couplers_BVALID),
        .S_AXI_rdata(xbar_to_m00_couplers_RDATA),
        .S_AXI_rready(xbar_to_m00_couplers_RREADY),
        .S_AXI_rresp(xbar_to_m00_couplers_RRESP),
        .S_AXI_rvalid(xbar_to_m00_couplers_RVALID),
        .S_AXI_wdata(xbar_to_m00_couplers_WDATA),
        .S_AXI_wready(xbar_to_m00_couplers_WREADY),
        .S_AXI_wstrb(xbar_to_m00_couplers_WSTRB),
        .S_AXI_wvalid(xbar_to_m00_couplers_WVALID));
  m01_couplers_imp_15DQFTV m01_couplers
       (.M_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
        .M_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
        .M_AXI_araddr(m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARADDR),
        .M_AXI_arprot(m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARPROT),
        .M_AXI_arready(m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARREADY),
        .M_AXI_arvalid(m01_couplers_to_riscv_core_with_axi_0_axi_periph_ARVALID),
        .M_AXI_awaddr(m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWADDR),
        .M_AXI_awprot(m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWPROT),
        .M_AXI_awready(m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWREADY),
        .M_AXI_awvalid(m01_couplers_to_riscv_core_with_axi_0_axi_periph_AWVALID),
        .M_AXI_bready(m01_couplers_to_riscv_core_with_axi_0_axi_periph_BREADY),
        .M_AXI_bresp(m01_couplers_to_riscv_core_with_axi_0_axi_periph_BRESP),
        .M_AXI_bvalid(m01_couplers_to_riscv_core_with_axi_0_axi_periph_BVALID),
        .M_AXI_rdata(m01_couplers_to_riscv_core_with_axi_0_axi_periph_RDATA),
        .M_AXI_rready(m01_couplers_to_riscv_core_with_axi_0_axi_periph_RREADY),
        .M_AXI_rresp(m01_couplers_to_riscv_core_with_axi_0_axi_periph_RRESP),
        .M_AXI_rvalid(m01_couplers_to_riscv_core_with_axi_0_axi_periph_RVALID),
        .M_AXI_wdata(m01_couplers_to_riscv_core_with_axi_0_axi_periph_WDATA),
        .M_AXI_wready(m01_couplers_to_riscv_core_with_axi_0_axi_periph_WREADY),
        .M_AXI_wstrb(m01_couplers_to_riscv_core_with_axi_0_axi_periph_WSTRB),
        .M_AXI_wvalid(m01_couplers_to_riscv_core_with_axi_0_axi_periph_WVALID),
        .S_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
        .S_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
        .S_AXI_araddr(xbar_to_m01_couplers_ARADDR),
        .S_AXI_arprot(xbar_to_m01_couplers_ARPROT),
        .S_AXI_arready(xbar_to_m01_couplers_ARREADY),
        .S_AXI_arvalid(xbar_to_m01_couplers_ARVALID),
        .S_AXI_awaddr(xbar_to_m01_couplers_AWADDR),
        .S_AXI_awprot(xbar_to_m01_couplers_AWPROT),
        .S_AXI_awready(xbar_to_m01_couplers_AWREADY),
        .S_AXI_awvalid(xbar_to_m01_couplers_AWVALID),
        .S_AXI_bready(xbar_to_m01_couplers_BREADY),
        .S_AXI_bresp(xbar_to_m01_couplers_BRESP),
        .S_AXI_bvalid(xbar_to_m01_couplers_BVALID),
        .S_AXI_rdata(xbar_to_m01_couplers_RDATA),
        .S_AXI_rready(xbar_to_m01_couplers_RREADY),
        .S_AXI_rresp(xbar_to_m01_couplers_RRESP),
        .S_AXI_rvalid(xbar_to_m01_couplers_RVALID),
        .S_AXI_wdata(xbar_to_m01_couplers_WDATA),
        .S_AXI_wready(xbar_to_m01_couplers_WREADY),
        .S_AXI_wstrb(xbar_to_m01_couplers_WSTRB),
        .S_AXI_wvalid(xbar_to_m01_couplers_WVALID));
  s00_couplers_imp_4FUU9H s00_couplers
       (.M_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
        .M_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
        .M_AXI_araddr(s00_couplers_to_xbar_ARADDR),
        .M_AXI_arprot(s00_couplers_to_xbar_ARPROT),
        .M_AXI_arready(s00_couplers_to_xbar_ARREADY),
        .M_AXI_arvalid(s00_couplers_to_xbar_ARVALID),
        .M_AXI_awaddr(s00_couplers_to_xbar_AWADDR),
        .M_AXI_awprot(s00_couplers_to_xbar_AWPROT),
        .M_AXI_awready(s00_couplers_to_xbar_AWREADY),
        .M_AXI_awvalid(s00_couplers_to_xbar_AWVALID),
        .M_AXI_bready(s00_couplers_to_xbar_BREADY),
        .M_AXI_bresp(s00_couplers_to_xbar_BRESP),
        .M_AXI_bvalid(s00_couplers_to_xbar_BVALID),
        .M_AXI_rdata(s00_couplers_to_xbar_RDATA),
        .M_AXI_rready(s00_couplers_to_xbar_RREADY),
        .M_AXI_rresp(s00_couplers_to_xbar_RRESP),
        .M_AXI_rvalid(s00_couplers_to_xbar_RVALID),
        .M_AXI_wdata(s00_couplers_to_xbar_WDATA),
        .M_AXI_wready(s00_couplers_to_xbar_WREADY),
        .M_AXI_wstrb(s00_couplers_to_xbar_WSTRB),
        .M_AXI_wvalid(s00_couplers_to_xbar_WVALID),
        .S_ACLK(riscv_core_with_axi_0_axi_periph_ACLK_net),
        .S_ARESETN(riscv_core_with_axi_0_axi_periph_ARESETN_net),
        .S_AXI_araddr(riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARADDR),
        .S_AXI_arprot(riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARPROT),
        .S_AXI_arready(riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARREADY),
        .S_AXI_arvalid(riscv_core_with_axi_0_axi_periph_to_s00_couplers_ARVALID),
        .S_AXI_awaddr(riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWADDR),
        .S_AXI_awprot(riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWPROT),
        .S_AXI_awready(riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWREADY),
        .S_AXI_awvalid(riscv_core_with_axi_0_axi_periph_to_s00_couplers_AWVALID),
        .S_AXI_bready(riscv_core_with_axi_0_axi_periph_to_s00_couplers_BREADY),
        .S_AXI_bresp(riscv_core_with_axi_0_axi_periph_to_s00_couplers_BRESP),
        .S_AXI_bvalid(riscv_core_with_axi_0_axi_periph_to_s00_couplers_BVALID),
        .S_AXI_rdata(riscv_core_with_axi_0_axi_periph_to_s00_couplers_RDATA),
        .S_AXI_rready(riscv_core_with_axi_0_axi_periph_to_s00_couplers_RREADY),
        .S_AXI_rresp(riscv_core_with_axi_0_axi_periph_to_s00_couplers_RRESP),
        .S_AXI_rvalid(riscv_core_with_axi_0_axi_periph_to_s00_couplers_RVALID),
        .S_AXI_wdata(riscv_core_with_axi_0_axi_periph_to_s00_couplers_WDATA),
        .S_AXI_wready(riscv_core_with_axi_0_axi_periph_to_s00_couplers_WREADY),
        .S_AXI_wstrb(riscv_core_with_axi_0_axi_periph_to_s00_couplers_WSTRB),
        .S_AXI_wvalid(riscv_core_with_axi_0_axi_periph_to_s00_couplers_WVALID));
  risc_axi_v5_top_xbar_0 xbar
       (.aclk(riscv_core_with_axi_0_axi_periph_ACLK_net),
        .aresetn(riscv_core_with_axi_0_axi_periph_ARESETN_net),
        .m_axi_araddr({xbar_to_m01_couplers_ARADDR,xbar_to_m00_couplers_ARADDR}),
饶先宏's avatar
饶先宏 已提交
976
        .m_axi_arprot({xbar_to_m01_couplers_ARPROT,xbar_to_m00_couplers_ARPROT}),
饶先宏's avatar
饶先宏 已提交
977 978 979
        .m_axi_arready({xbar_to_m01_couplers_ARREADY,xbar_to_m00_couplers_ARREADY}),
        .m_axi_arvalid({xbar_to_m01_couplers_ARVALID,xbar_to_m00_couplers_ARVALID}),
        .m_axi_awaddr({xbar_to_m01_couplers_AWADDR,xbar_to_m00_couplers_AWADDR}),
饶先宏's avatar
饶先宏 已提交
980
        .m_axi_awprot({xbar_to_m01_couplers_AWPROT,xbar_to_m00_couplers_AWPROT}),
饶先宏's avatar
饶先宏 已提交
981 982 983 984 985 986 987 988 989 990 991 992 993 994 995 996 997 998 999 1000 1001 1002 1003 1004 1005 1006 1007 1008 1009 1010 1011 1012 1013 1014 1015 1016 1017 1018 1019 1020 1021 1022 1023 1024 1025 1026 1027 1028 1029 1030 1031 1032 1033 1034 1035 1036 1037 1038 1039 1040 1041 1042 1043 1044 1045 1046 1047 1048 1049 1050 1051 1052 1053 1054 1055 1056 1057 1058 1059 1060 1061 1062 1063 1064 1065 1066 1067 1068 1069 1070 1071 1072 1073 1074 1075 1076 1077 1078 1079 1080 1081 1082 1083 1084 1085 1086 1087 1088 1089 1090 1091 1092 1093 1094 1095 1096 1097 1098 1099 1100 1101 1102 1103 1104 1105 1106 1107 1108 1109 1110 1111 1112 1113 1114 1115 1116 1117 1118 1119 1120 1121 1122 1123 1124 1125 1126 1127 1128 1129 1130 1131 1132 1133 1134 1135 1136 1137 1138 1139 1140 1141 1142 1143 1144 1145 1146 1147 1148 1149 1150 1151 1152 1153 1154 1155 1156 1157 1158 1159
        .m_axi_awready({xbar_to_m01_couplers_AWREADY,xbar_to_m00_couplers_AWREADY}),
        .m_axi_awvalid({xbar_to_m01_couplers_AWVALID,xbar_to_m00_couplers_AWVALID}),
        .m_axi_bready({xbar_to_m01_couplers_BREADY,xbar_to_m00_couplers_BREADY}),
        .m_axi_bresp({xbar_to_m01_couplers_BRESP,xbar_to_m00_couplers_BRESP}),
        .m_axi_bvalid({xbar_to_m01_couplers_BVALID,xbar_to_m00_couplers_BVALID}),
        .m_axi_rdata({xbar_to_m01_couplers_RDATA,xbar_to_m00_couplers_RDATA}),
        .m_axi_rready({xbar_to_m01_couplers_RREADY,xbar_to_m00_couplers_RREADY}),
        .m_axi_rresp({xbar_to_m01_couplers_RRESP,xbar_to_m00_couplers_RRESP}),
        .m_axi_rvalid({xbar_to_m01_couplers_RVALID,xbar_to_m00_couplers_RVALID}),
        .m_axi_wdata({xbar_to_m01_couplers_WDATA,xbar_to_m00_couplers_WDATA}),
        .m_axi_wready({xbar_to_m01_couplers_WREADY,xbar_to_m00_couplers_WREADY}),
        .m_axi_wstrb({xbar_to_m01_couplers_WSTRB,xbar_to_m00_couplers_WSTRB}),
        .m_axi_wvalid({xbar_to_m01_couplers_WVALID,xbar_to_m00_couplers_WVALID}),
        .s_axi_araddr(s00_couplers_to_xbar_ARADDR),
        .s_axi_arprot(s00_couplers_to_xbar_ARPROT),
        .s_axi_arready(s00_couplers_to_xbar_ARREADY),
        .s_axi_arvalid(s00_couplers_to_xbar_ARVALID),
        .s_axi_awaddr(s00_couplers_to_xbar_AWADDR),
        .s_axi_awprot(s00_couplers_to_xbar_AWPROT),
        .s_axi_awready(s00_couplers_to_xbar_AWREADY),
        .s_axi_awvalid(s00_couplers_to_xbar_AWVALID),
        .s_axi_bready(s00_couplers_to_xbar_BREADY),
        .s_axi_bresp(s00_couplers_to_xbar_BRESP),
        .s_axi_bvalid(s00_couplers_to_xbar_BVALID),
        .s_axi_rdata(s00_couplers_to_xbar_RDATA),
        .s_axi_rready(s00_couplers_to_xbar_RREADY),
        .s_axi_rresp(s00_couplers_to_xbar_RRESP),
        .s_axi_rvalid(s00_couplers_to_xbar_RVALID),
        .s_axi_wdata(s00_couplers_to_xbar_WDATA),
        .s_axi_wready(s00_couplers_to_xbar_WREADY),
        .s_axi_wstrb(s00_couplers_to_xbar_WSTRB),
        .s_axi_wvalid(s00_couplers_to_xbar_WVALID));
endmodule

module s00_couplers_imp_4FUU9H
   (M_ACLK,
    M_ARESETN,
    M_AXI_araddr,
    M_AXI_arprot,
    M_AXI_arready,
    M_AXI_arvalid,
    M_AXI_awaddr,
    M_AXI_awprot,
    M_AXI_awready,
    M_AXI_awvalid,
    M_AXI_bready,
    M_AXI_bresp,
    M_AXI_bvalid,
    M_AXI_rdata,
    M_AXI_rready,
    M_AXI_rresp,
    M_AXI_rvalid,
    M_AXI_wdata,
    M_AXI_wready,
    M_AXI_wstrb,
    M_AXI_wvalid,
    S_ACLK,
    S_ARESETN,
    S_AXI_araddr,
    S_AXI_arprot,
    S_AXI_arready,
    S_AXI_arvalid,
    S_AXI_awaddr,
    S_AXI_awprot,
    S_AXI_awready,
    S_AXI_awvalid,
    S_AXI_bready,
    S_AXI_bresp,
    S_AXI_bvalid,
    S_AXI_rdata,
    S_AXI_rready,
    S_AXI_rresp,
    S_AXI_rvalid,
    S_AXI_wdata,
    S_AXI_wready,
    S_AXI_wstrb,
    S_AXI_wvalid);
  input M_ACLK;
  input M_ARESETN;
  output [31:0]M_AXI_araddr;
  output [2:0]M_AXI_arprot;
  input M_AXI_arready;
  output M_AXI_arvalid;
  output [31:0]M_AXI_awaddr;
  output [2:0]M_AXI_awprot;
  input M_AXI_awready;
  output M_AXI_awvalid;
  output M_AXI_bready;
  input [1:0]M_AXI_bresp;
  input M_AXI_bvalid;
  input [31:0]M_AXI_rdata;
  output M_AXI_rready;
  input [1:0]M_AXI_rresp;
  input M_AXI_rvalid;
  output [31:0]M_AXI_wdata;
  input M_AXI_wready;
  output [3:0]M_AXI_wstrb;
  output M_AXI_wvalid;
  input S_ACLK;
  input S_ARESETN;
  input [31:0]S_AXI_araddr;
  input [2:0]S_AXI_arprot;
  output S_AXI_arready;
  input S_AXI_arvalid;
  input [31:0]S_AXI_awaddr;
  input [2:0]S_AXI_awprot;
  output S_AXI_awready;
  input S_AXI_awvalid;
  input S_AXI_bready;
  output [1:0]S_AXI_bresp;
  output S_AXI_bvalid;
  output [31:0]S_AXI_rdata;
  input S_AXI_rready;
  output [1:0]S_AXI_rresp;
  output S_AXI_rvalid;
  input [31:0]S_AXI_wdata;
  output S_AXI_wready;
  input [3:0]S_AXI_wstrb;
  input S_AXI_wvalid;

  wire [31:0]s00_couplers_to_s00_couplers_ARADDR;
  wire [2:0]s00_couplers_to_s00_couplers_ARPROT;
  wire s00_couplers_to_s00_couplers_ARREADY;
  wire s00_couplers_to_s00_couplers_ARVALID;
  wire [31:0]s00_couplers_to_s00_couplers_AWADDR;
  wire [2:0]s00_couplers_to_s00_couplers_AWPROT;
  wire s00_couplers_to_s00_couplers_AWREADY;
  wire s00_couplers_to_s00_couplers_AWVALID;
  wire s00_couplers_to_s00_couplers_BREADY;
  wire [1:0]s00_couplers_to_s00_couplers_BRESP;
  wire s00_couplers_to_s00_couplers_BVALID;
  wire [31:0]s00_couplers_to_s00_couplers_RDATA;
  wire s00_couplers_to_s00_couplers_RREADY;
  wire [1:0]s00_couplers_to_s00_couplers_RRESP;
  wire s00_couplers_to_s00_couplers_RVALID;
  wire [31:0]s00_couplers_to_s00_couplers_WDATA;
  wire s00_couplers_to_s00_couplers_WREADY;
  wire [3:0]s00_couplers_to_s00_couplers_WSTRB;
  wire s00_couplers_to_s00_couplers_WVALID;

  assign M_AXI_araddr[31:0] = s00_couplers_to_s00_couplers_ARADDR;
  assign M_AXI_arprot[2:0] = s00_couplers_to_s00_couplers_ARPROT;
  assign M_AXI_arvalid = s00_couplers_to_s00_couplers_ARVALID;
  assign M_AXI_awaddr[31:0] = s00_couplers_to_s00_couplers_AWADDR;
  assign M_AXI_awprot[2:0] = s00_couplers_to_s00_couplers_AWPROT;
  assign M_AXI_awvalid = s00_couplers_to_s00_couplers_AWVALID;
  assign M_AXI_bready = s00_couplers_to_s00_couplers_BREADY;
  assign M_AXI_rready = s00_couplers_to_s00_couplers_RREADY;
  assign M_AXI_wdata[31:0] = s00_couplers_to_s00_couplers_WDATA;
  assign M_AXI_wstrb[3:0] = s00_couplers_to_s00_couplers_WSTRB;
  assign M_AXI_wvalid = s00_couplers_to_s00_couplers_WVALID;
  assign S_AXI_arready = s00_couplers_to_s00_couplers_ARREADY;
  assign S_AXI_awready = s00_couplers_to_s00_couplers_AWREADY;
  assign S_AXI_bresp[1:0] = s00_couplers_to_s00_couplers_BRESP;
  assign S_AXI_bvalid = s00_couplers_to_s00_couplers_BVALID;
  assign S_AXI_rdata[31:0] = s00_couplers_to_s00_couplers_RDATA;
  assign S_AXI_rresp[1:0] = s00_couplers_to_s00_couplers_RRESP;
  assign S_AXI_rvalid = s00_couplers_to_s00_couplers_RVALID;
  assign S_AXI_wready = s00_couplers_to_s00_couplers_WREADY;
  assign s00_couplers_to_s00_couplers_ARADDR = S_AXI_araddr[31:0];
  assign s00_couplers_to_s00_couplers_ARPROT = S_AXI_arprot[2:0];
  assign s00_couplers_to_s00_couplers_ARREADY = M_AXI_arready;
  assign s00_couplers_to_s00_couplers_ARVALID = S_AXI_arvalid;
  assign s00_couplers_to_s00_couplers_AWADDR = S_AXI_awaddr[31:0];
  assign s00_couplers_to_s00_couplers_AWPROT = S_AXI_awprot[2:0];
  assign s00_couplers_to_s00_couplers_AWREADY = M_AXI_awready;
  assign s00_couplers_to_s00_couplers_AWVALID = S_AXI_awvalid;
  assign s00_couplers_to_s00_couplers_BREADY = S_AXI_bready;
  assign s00_couplers_to_s00_couplers_BRESP = M_AXI_bresp[1:0];
  assign s00_couplers_to_s00_couplers_BVALID = M_AXI_bvalid;
  assign s00_couplers_to_s00_couplers_RDATA = M_AXI_rdata[31:0];
  assign s00_couplers_to_s00_couplers_RREADY = S_AXI_rready;
  assign s00_couplers_to_s00_couplers_RRESP = M_AXI_rresp[1:0];
  assign s00_couplers_to_s00_couplers_RVALID = M_AXI_rvalid;
  assign s00_couplers_to_s00_couplers_WDATA = S_AXI_wdata[31:0];
  assign s00_couplers_to_s00_couplers_WREADY = M_AXI_wready;
  assign s00_couplers_to_s00_couplers_WSTRB = S_AXI_wstrb[3:0];
  assign s00_couplers_to_s00_couplers_WVALID = S_AXI_wvalid;
endmodule