1. 04 6月, 2021 1 次提交
  2. 11 5月, 2021 1 次提交
    • W
      backend,mem: add Store Sets memory dependence predictor (#796) · de169c67
      William Wang 提交于
      * LoadQueue: send stFtqIdx via rollback request
      
      * It will make it possible for setore set to update its SSIT
      
      * StoreSet: setup store set update req
      
      * StoreSet: add store set identifier table (SSIT)
      
      * StoreSet: add last fetched store table (LFST)
      
      * StoreSet: put SSIT into decode stage
      
      * StoreSet: put LFST into dispatch1
      
      * Future work: optimize timing
      
      * RS: store rs now supports delayed issue
      
      * StoreSet: add perf counter
      
      * StoreSet: fix SSIT update logic
      
      * StoreSet: delay LFST update input for 1 cycle
      
      * StoreSet: fix LFST update logic
      
      * StoreSet: fix LFST raddr width
      
      * StoreSet: do not force store in ss issue in order
      
      Classic store set requires store in the same store set issue in seq.
      However, in current micro-architecture, such restrict will lead to
      severe perf lost. We choose to disable it until we find another way
      to fix it.
      
      * StoreSet: support ooo store in the same store set
      
      * StoreSet: fix store set merge logic
      
      * StoreSet: check earlier store when read LFST
      
      * If store-load pair is in the same dispatch bundle, loadWaitBit should
      also be set for load
      
      * StoreSet: increase default SSIT flush period
      
      * StoreSet: fix LFST read logic
      
      * Fix commit c0e541d1
      
      * StoreSet: add StoreSetEnable parameter
      
      * RSFeedback: add source type
      
      * StoreQueue: split store addr and store data
      
      * StoreQueue: update ls forward logic
      
      * Now it supports splited addr and data
      
      * Chore: force assign name for load/store unit
      
      * RS: add rs'support for store a-d split
      
      * StoreQueue: fix stlf logic
      
      * StoreQueue: fix addr wb sq update logic
      
      * AtomicsUnit: support splited a/d
      
      * Parameters: disable store set by default
      
      * WaitTable: wait table will not cause store delay
      
      * WaitTable: recover default reset period to 2^17
      
      * Fix dev-stad merge conflict
      
      * StoreSet: enable storeset
      
      * RS: disable store rs delay logic
      
      CI perf shows that current delay logic will cause perf loss. Disable
      unnecessary delay logic will help.
      
      To be more specific, `io.readyVec` caused the problem. It will be
      updated in future commits.
      
      * RS: opt select logic with load delay (ldWait)
      
      * StoreSet: disable 2-bit lwt
      Co-authored-by: NZhangZifei <zhangzifei20z@ict.ac.cn>
      de169c67
  3. 26 4月, 2021 1 次提交
  4. 22 4月, 2021 1 次提交
    • Y
      Add dispatch and issue performance counters (#770) · a338f247
      Yinan Xu 提交于
      In this commit, we add performance counters for dispatch and issue stages
      to track the number of instructions dispatched and issued. Active regfile
      read ports are counted as ready instruction source registers.
      a338f247
  5. 19 4月, 2021 1 次提交
    • J
      Refactor parameters, SimTop and difftest (#753) · 2225d46e
      Jiawei Lin 提交于
      * difftest: use DPI-C to refactor difftest
      
      In this commit, difftest is refactored with DPI-C calls.
      There're a few reasons:
      (1) From Verilator's manual, DPI-C calls should be more efficient than accessing from dut_ptr.
      (2) DPI-C is cross-platform (Verilator, VCS, ...)
      (3) difftest APIs are splited from emu.cpp to possibly support more backend platforms
      (NEMU, Spike, ...)
      
      The performance at this commit is quite slower than the original emu.
      Performance issues will be fixed later.
      
      * [WIP] SimTop: try to use 'XSTop' as soc
      
      * CircularQueuePtr: ues F-bounded polymorphis instead implict helper
      
      * Refactor parameters & Clean up code
      
      * difftest: support basic difftest
      
      * Support diffetst in new sim top
      
      * Difftest; convert recode fmt to ieee754 when comparing fp regs
      
      * Difftest: pass sign-ext pc to dpic functions && fix exception pc
      
      * Debug: add int/exc inst wb to debug queue
      
      * Difftest: pass sign-ext pc to dpic functions && fix exception pc
      
      * Difftest: fix naive commit num limit
      Co-authored-by: NYinan Xu <xuyinan1997@gmail.com>
      Co-authored-by: NWilliam Wang <zeweiwang@outlook.com>
      2225d46e
  6. 31 3月, 2021 1 次提交
  7. 23 2月, 2021 2 次提交
  8. 25 1月, 2021 1 次提交
  9. 15 1月, 2021 1 次提交
  10. 09 1月, 2021 1 次提交
  11. 08 1月, 2021 1 次提交
  12. 07 1月, 2021 2 次提交
  13. 20 12月, 2020 1 次提交
  14. 19 12月, 2020 2 次提交
  15. 11 12月, 2020 1 次提交
  16. 03 12月, 2020 1 次提交
  17. 30 11月, 2020 1 次提交
    • Y
      rename: don't bypass preg and leave it to dispatch1 · 99b8dc2c
      Yinan Xu 提交于
      Rename now provides vectors indicating whether there're matches between lsrc1/lsrc2/lsrc3/ldest
      and previous instructions' ldest. Dispatch1 updates uops' psrc1/psrc2/psrc3/old_pdest with
      previous instructions pdest. This method optimizes rename' timing.
      99b8dc2c
  18. 29 11月, 2020 1 次提交
  19. 27 11月, 2020 3 次提交
  20. 25 11月, 2020 1 次提交
  21. 18 11月, 2020 1 次提交
  22. 16 11月, 2020 1 次提交
  23. 10 11月, 2020 1 次提交
  24. 08 11月, 2020 1 次提交
  25. 26 10月, 2020 2 次提交
  26. 25 10月, 2020 1 次提交
  27. 21 10月, 2020 1 次提交
  28. 20 10月, 2020 1 次提交
  29. 15 10月, 2020 1 次提交
  30. 13 10月, 2020 1 次提交
  31. 12 10月, 2020 1 次提交
  32. 04 9月, 2020 1 次提交
  33. 15 8月, 2020 1 次提交
  34. 14 8月, 2020 1 次提交